eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronikaProblemy z implementacją w CPLDRe: Problemy z implementacją w CPLD
  • Data: 2009-01-26 16:08:53
    Temat: Re: Problemy z implementacją w CPLD
    Od: "Sludig" <...@...pl> szukaj wiadomości tego autora
    [ pokaż wszystkie nagłówki ]

    Witam

    Po przeczytaniu waszych uwag zrobiłem co następuje:
    - Zamiast dzielić clocka dla UARTu użyłem w jego strukturze ClkEnable
    - Wszystkie sygnały wejściowe przechodzą przez rejestry wejściowe DFF (w
    celach testowych)
    - dodałem stan w FSM, w którym układ się zatnie jeżeli przejdzie do others
    =>

    Jednak nadal jest tak, że po zmianie numeracji stanów na Hot-one układ
    przestaje działać, ale najwyraźniej nie wchodzi do others (albo kompilator
    zoptymalizował ten stan). Na wykonanie symulacji post-fix nie miałem czasu
    niestety.

    pozdrawiam
    sludig

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: