-
X-Received: by 10.140.20.40 with SMTP id 37mr178453qgi.26.1432369870798; Sat, 23 May
2015 01:31:10 -0700 (PDT)
X-Received: by 10.140.20.40 with SMTP id 37mr178453qgi.26.1432369870798; Sat, 23 May
2015 01:31:10 -0700 (PDT)
Path: news-archive.icm.edu.pl!news.icm.edu.pl!newsfeed.pionier.net.pl!news.glorb.com!
j8no8236953igd.0!news-out.google.com!k20ni44866qgd.0!nntp.google.com!z60no33388
83qgd.1!postnews.google.com!glegroupsg2000goo.googlegroups.com!not-for-mail
Newsgroups: pl.misc.elektronika
Date: Sat, 23 May 2015 01:31:10 -0700 (PDT)
Complaints-To: g...@g...com
Injection-Info: glegroupsg2000goo.googlegroups.com; posting-host=185.53.155.164;
posting-account=67yd9woAAAAHUu8VHyA7Js47M98NE3m3
NNTP-Posting-Host: 185.53.155.164
User-Agent: G2/1.0
MIME-Version: 1.0
Message-ID: <9...@g...com>
Subject: VHDL - checa przy symulacji
From: s...@g...com
Injection-Date: Sat, 23 May 2015 08:31:10 +0000
Content-Type: text/plain; charset=UTF-8
Content-Transfer-Encoding: quoted-printable
Xref: news-archive.icm.edu.pl pl.misc.elektronika:681658
[ ukryj nagłówki ]Napisałem kawałek kodu do obliczania C=|A-B|, gdzie A,B,C to 11 bitowe liczby bez
znaku. Liczy dobrze, ale przy symulacji behawioralnej wynik pojawia się na opadającym
zboczu zegara.Za cholerę nie wiem dlaczego?! Poniżej kod:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VComponents.all;
entity amod is
Port ( A : in STD_LOGIC_VECTOR (10 downto 0);
B : in STD_LOGIC_VECTOR (10 downto 0);
CLK : in STD_LOGIC;
C : out STD_LOGIC_VECTOR (10 downto 0));
end amod;
architecture Behavioral of amod is
signal Cs:std_logic_vector(11 downto 0);
begin
process(CLK,A,B)
begin
if CLK'event and CLK='1' then
Cs<=std_logic_vector(to_unsigned(abs(to_integer(sign
ed('0'&A))-to_integer(signed('0'&B))),12));
end if;
C<=Cs(10 downto 0);
end process;
end Behavioral;
Następne wpisy z tego wątku
- 23.05.15 14:12 Jakub Rakus
- 23.05.15 14:28 s...@g...com
- 23.05.15 15:00 MiSter
- 23.05.15 16:35 Jakub Rakus
Najnowsze wątki z tej grupy
- "ogrodowa linia napowietrzna"
- jaki zasilacz laboratoryjny
- jaki zasilacz laboratoryjny
- Puszka w ziemię
- T-1000 was here
- Ściąganie hasła frezem
- Koszyk okrągły, walec 3x AA, na duże paluszki R6
- Brak bolca ochronnego ładowarki oznacza pożar
- AMS spalony szybkim zasilaczem USB
- stalowe bezpieczniki
- Wyświtlacz ramki cyfrowej
- bateria na żądanie
- pradnica krokowa
- Nieustający podziw...
- Coś dusi.
Najnowsze wątki
- 2025-02-04 "ogrodowa linia napowietrzna"
- 2025-02-04 Warszawa => Senior Account Manager <=
- 2025-02-03 Awaria BNP Paribas
- 2025-02-03 kryminalni i dochodzeniowcy
- 2025-02-03 Szczecin => Senior Field Sales (system ERP) <=
- 2025-02-03 Bydgoszcz => Specjalista ds. Sprzedaży (transport drogowy) <=
- 2025-02-03 jaki zasilacz laboratoryjny
- 2025-02-03 jaki zasilacz laboratoryjny
- 2025-02-03 Puszka w ziemię
- 2025-02-03 Białystok => Full Stack web developer (obszar .Net Core, Angular6+) <
- 2025-02-03 Kraków => Programista Full Stack .Net <=
- 2025-02-03 Kraków => MS Dynamics 365BC/NAV Developer <=
- 2025-02-03 Bez żadnego trybu
- 2025-02-03 Gliwice => Business Development Manager - Network and Network Security
- 2025-02-03 Gliwice => IT Expert (Network Systems area) <=