eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronikaNauka programowania FPGARe: Nauka programowania FPGA
  • Data: 2018-02-10 12:55:20
    Temat: Re: Nauka programowania FPGA
    Od: s...@g...com szukaj wiadomości tego autora
    [ pokaż wszystkie nagłówki ]

    W dniu piątek, 9 lutego 2018 21:16:46 UTC+1 użytkownik Sebastian Biały napisał:
    > On 2/9/2018 10:26 AM, s...@g...com wrote:
    > >> Świat odchodzi od rysowania schematów [1]. To wynika z bardzo wielu
    > >> przyczyn ale najwazniejsze to jest niemożność stosowania technik
    > >> zapewniania jakości na takim designie. W zasadzie profesjonalny hardware
    > >> produkuje się obecnie *wyłacznie* za pomocą opisu który pozwala na
    > >> stosowanie annotacji, śledzenia wymagań, unit testowania (i kilku innych
    > >> poziomów testowania), pracy w grupie, systemów kontroli wersji,
    > >> wykrywania regresji, automatycznego lintowania itd. Rysowanie schematów
    > >> jest marginesem do projektów migania diodami. To ślepa uliczka.
    > >> [1] Nie wyssałem tego z palca.

    Świat również wymyślił coś takiego jak ISO xxxx. System zarządzania jakością:) Cały
    ten pierdolnik powstał tylko po to, by dać naprawdę duże zarobki i możliwości
    łapówkarstwa dla totalnych nieuków i nierobów.

    > > Najdelikatniej jak umiem.. Nie pisz skąd te bzdury wyssałeś :)
    >
    > Widzisz, z miejsca gdzie siedzę zawodowo widać bardzo duzy kawałek rynku
    > EDA od środka. Powiedzmy że wiem co jest obecnie stosowane na świecie i
    > jakie techniki zarzadzania jakością stosowane są w dużych firmach
    > zatrudniających tysiące programistów HDL. Jeśli wydaje Ci się że
    > ktokolwiek z nich rysuje schematy w dyzych projektach to po prostu nie
    > zauważyleś postepu. Owszem, rysuje się schematy w duperelowatych
    > przypadkach migania dioda czy zrobienia jakiegoś bufora z DRAM i
    > sporadycznie rysuje się schematy kiedy managerem jest Stasiek. Jesli
    > chodzi o elektronikę dużej skali i cieżkich parametrów (lotnictwo,
    > medycyna, wojsko) mozna tylko parsknąć śmiechem. Wszystkie, powtarzam,
    > wszystkie duże firmy stosują zupełnie inne metody zarzadzania jakoscią
    > niż armia Staśków gapiący sie w druty. Nawet nie zdajesz sobie sprawy
    > jak wiele wydarzyło się w ostatnich 10 latach w tej dziedzinie i jak
    > bardzo dramatycznie podniesiono wymogi jakościowe. W zasadzie cały
    > proces obrotu pieniedzmi w EDA skupia sie obecnie na weryfikacji a nie
    > na projektowaniu. Projektowanie jest trywialne wobec weryfikacji.
    >

    No to teraz trzasnąłeś jak łysy grzywką o krawężnik. Po pierwsze, akurat zajmuję się
    diagnostyką medyczną. Piszesz, że projektowanie jest trywialne wobec weryfikacji...
    Ów Stasiek gapiący się na druty zaprojektował ultrasonograf, który oczywiście w
    swojej klasie powala np. Toshibę (modelu nie podaję z premedytacją). I nie jest to
    tylko subiektywna opinia Staśka. Wracając do tematu schemat/HDL, popatrz tutaj:

    http://imagizer.imageshack.us/a/img923/5962/Pjm3pT.j
    pg

    To jest demodulator AM. Ma do zrobienia sqrt(I^2+Q^2). Prawda, że na pierwszy rzut
    oka wiadomo o co chodzi? W postaci HDL całość tego? No problem, jedno kliknięcie i
    jak sobie tego życzysz dostaniesz wersję strukturalną w VHDL/Verilog. Do wyboru, do
    koloru.. Tyle, że zanim zrozumiesz o co w tym chodzi, spędzisz ładnych parę godzin.
    Jest tam też klocek "bmod". Opis behawioralny :

    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    use IEEE.NUMERIC_STD.ALL;
    library UNISIM;
    use UNISIM.VComponents.all;

    entity bmod is
    Port ( A : in STD_LOGIC_VECTOR (15 downto 0);
    CLK : in STD_LOGIC;
    C : out STD_LOGIC_VECTOR (15 downto 0));
    end bmod;

    architecture Behavioral of bmod is
    type Rejestr_Type is array (63 downto 0) of std_logic_vector(15 downto 0);
    signal Cs:std_logic_vector(21 downto 0):=(others=>'0');
    signal Rejestr:Rejestr_Type;
    shared variable Suma:integer;

    begin

    process(CLK)
    begin
    if rising_edge(CLK) then
    Rejestr<=Rejestr(62 downto 0)&A;
    Suma:=0;
    for i in 0 to 63 loop
    Suma:=Suma+to_integer(signed('0'&Rejestr(i)));
    end loop;
    Cs<=std_logic_vector(to_unsigned(Suma,22));
    end if;
    end process;
    C<=Cs(21 downto 6);
    end Behavioral;

    Co to robi? Mam nadzieję, że dasz sobie z tym radę, ale napisz tak szczerze.. Ile
    czasu zajęło Ci zrozumienie powyższego?

    > > Kontrola wersji, regresja.. O czym Ty gościu p........ ?
    >
    > Mentalnie tkwisz ciagle w latach 60-tych. W międzyczasie HDL nabrały
    > prawie wszystkich technik programistycznych z normalnego programowania,
    > w szczególności wielu pojęć z Inżynieri Oprogramowania, jak rownież
    > języki zmieniły się dramatycznie przypominając duże jezyki obiektowe.
    > Takie rzeczy jak obiektowe uni testy, randomizacje, farmy regresyjne,
    > weryfikacja formalna, śledzenie wymagań, kontrola wersji, wzorce
    > projektowe, code review są *CODZIENNOŚCIĄ* w tej branży i pojawiły się
    > tam głównie dlatego że Staśków jest mniej, za to więcej ludzi
    > rozumiejących po co to wszystko wymyślono w programowaniu i dlaczego
    > generuje to zysk i jakość. To że został na świecie jakiś Stasiek co
    > uważa że gapienie się w druty rozwiązuje te problemy to nic nie można
    > poradzić. Świat bez Staśka będzie taki sam.

    Taaak... Mentalnie jestem w latach '60:)) ISOxxxx wymaga rozwieszania nawet po
    kiblach banerów "Jakość jest dla nas najważniejsza":)) NIE ŻARTUJĘ!! Coś w stylu
    "PZPR przewodnią siłą Narodu". Baa.. Mało tego.. Świat poszedł tak do przodu, że
    wymyślił RoHS. Stasiek też jakoś jest w tym temacie zacofany, bo uważa że jest to o
    kant dupy rozbić. W temacie długości śledzi i kształcie bananów też jestem zacofany
    :))

    >
    > > Da się "elektronikom" wyprać mózg? Chyba tak...
    >
    > Nie masz pojęcia co krytykujesz. Nie wiesz co jest obecnie stosowane w
    > EDA bo siedzisz od 30 lat w tym samym minimum lokalnym potrzeb robiąc
    > swoje miganie diodami i bufory w DRAM. Nie ma sensu dyskutować o tym że
    > można inaczej bo sila przyzwyczajenia jest ogromna. Pozwól jednak że
    > porechoczę nieco słysząc opinie jednego misiaczka co gapi się w druty na
    > temat rynku światowego co go na oczy nie widział. Zatrudnij się w
    > większej firmie z rynku EDA, nawet w PL, zobaczysz na własne oczy jak
    > się wychodzi z jaskini i gdzie świat jest obecnie. Raczej nie w
    > rysowaniu schematów. Świat EDA w ostatnich 10 latach przeżył rewolucję
    > na skale absurdalną. Przykro mi że przesiedziałeś ją w jaskini. Wielu w
    > tej branzy nie wytrzymało tempa. Nie dziwie się, nauczenie się
    > obiektowości kiedy przez 30 lat rysowało się miganie diodą uważając to
    > za szczyt umiejętności bywa okrutnie trudne.
    >
    > I pamiętaj, ignorancja nie jest siłą.

    Siłą jest RoHS, kursy zarządzania jakością, byle sprzedać badziewie...
    Dlaczego gary Zeptera są droższe od tych z byle marketu, chociaż te z marketu są
    zrobione ze stali nierdzewnej? No ba.., bo te od Zeptera są ze stali szlachetnej :))

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: