eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronikaNauka programowania FPGARe: Nauka programowania FPGA
  • Data: 2018-02-09 21:16:32
    Temat: Re: Nauka programowania FPGA
    Od: Sebastian Biały <h...@p...onet.pl> szukaj wiadomości tego autora
    [ pokaż wszystkie nagłówki ]

    On 2/9/2018 10:26 AM, s...@g...com wrote:
    >> Świat odchodzi od rysowania schematów [1]. To wynika z bardzo wielu
    >> przyczyn ale najwazniejsze to jest niemożność stosowania technik
    >> zapewniania jakości na takim designie. W zasadzie profesjonalny hardware
    >> produkuje się obecnie *wyłacznie* za pomocą opisu który pozwala na
    >> stosowanie annotacji, śledzenia wymagań, unit testowania (i kilku innych
    >> poziomów testowania), pracy w grupie, systemów kontroli wersji,
    >> wykrywania regresji, automatycznego lintowania itd. Rysowanie schematów
    >> jest marginesem do projektów migania diodami. To ślepa uliczka.
    >> [1] Nie wyssałem tego z palca.
    > Najdelikatniej jak umiem.. Nie pisz skąd te bzdury wyssałeś :)

    Widzisz, z miejsca gdzie siedzę zawodowo widać bardzo duzy kawałek rynku
    EDA od środka. Powiedzmy że wiem co jest obecnie stosowane na świecie i
    jakie techniki zarzadzania jakością stosowane są w dużych firmach
    zatrudniających tysiące programistów HDL. Jeśli wydaje Ci się że
    ktokolwiek z nich rysuje schematy w dyzych projektach to po prostu nie
    zauważyleś postepu. Owszem, rysuje się schematy w duperelowatych
    przypadkach migania dioda czy zrobienia jakiegoś bufora z DRAM i
    sporadycznie rysuje się schematy kiedy managerem jest Stasiek. Jesli
    chodzi o elektronikę dużej skali i cieżkich parametrów (lotnictwo,
    medycyna, wojsko) mozna tylko parsknąć śmiechem. Wszystkie, powtarzam,
    wszystkie duże firmy stosują zupełnie inne metody zarzadzania jakoscią
    niż armia Staśków gapiący sie w druty. Nawet nie zdajesz sobie sprawy
    jak wiele wydarzyło się w ostatnich 10 latach w tej dziedzinie i jak
    bardzo dramatycznie podniesiono wymogi jakościowe. W zasadzie cały
    proces obrotu pieniedzmi w EDA skupia sie obecnie na weryfikacji a nie
    na projektowaniu. Projektowanie jest trywialne wobec weryfikacji.

    > Kontrola wersji, regresja.. O czym Ty gościu p........ ?

    Mentalnie tkwisz ciagle w latach 60-tych. W międzyczasie HDL nabrały
    prawie wszystkich technik programistycznych z normalnego programowania,
    w szczególności wielu pojęć z Inżynieri Oprogramowania, jak rownież
    języki zmieniły się dramatycznie przypominając duże jezyki obiektowe.
    Takie rzeczy jak obiektowe uni testy, randomizacje, farmy regresyjne,
    weryfikacja formalna, śledzenie wymagań, kontrola wersji, wzorce
    projektowe, code review są *CODZIENNOŚCIĄ* w tej branży i pojawiły się
    tam głównie dlatego że Staśków jest mniej, za to więcej ludzi
    rozumiejących po co to wszystko wymyślono w programowaniu i dlaczego
    generuje to zysk i jakość. To że został na świecie jakiś Stasiek co
    uważa że gapienie się w druty rozwiązuje te problemy to nic nie można
    poradzić. Świat bez Staśka będzie taki sam.

    > Da się "elektronikom" wyprać mózg? Chyba tak...

    Nie masz pojęcia co krytykujesz. Nie wiesz co jest obecnie stosowane w
    EDA bo siedzisz od 30 lat w tym samym minimum lokalnym potrzeb robiąc
    swoje miganie diodami i bufory w DRAM. Nie ma sensu dyskutować o tym że
    można inaczej bo sila przyzwyczajenia jest ogromna. Pozwól jednak że
    porechoczę nieco słysząc opinie jednego misiaczka co gapi się w druty na
    temat rynku światowego co go na oczy nie widział. Zatrudnij się w
    większej firmie z rynku EDA, nawet w PL, zobaczysz na własne oczy jak
    się wychodzi z jaskini i gdzie świat jest obecnie. Raczej nie w
    rysowaniu schematów. Świat EDA w ostatnich 10 latach przeżył rewolucję
    na skale absurdalną. Przykro mi że przesiedziałeś ją w jaskini. Wielu w
    tej branzy nie wytrzymało tempa. Nie dziwie się, nauczenie się
    obiektowości kiedy przez 30 lat rysowało się miganie diodą uważając to
    za szczyt umiejętności bywa okrutnie trudne.

    I pamiętaj, ignorancja nie jest siłą.

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: