eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronikaXilinx - symulacja ISim › Re: Xilinx - symulacja ISim
  • Data: 2013-03-13 10:20:24
    Temat: Re: Xilinx - symulacja ISim
    Od: Stachu Chebel <s...@g...com> szukaj wiadomości tego autora
    [ pokaż wszystkie nagłówki ]

    On 12 Mar, 23:00, Jacek Radzikowski <j...@n...spam.com> wrote:
    > Stachu Chebel wrote:
    > > Witam,
    > > Mam pewien problem. Robię symulację pewnego układu. W dużym
    > > uproszczeniu jest to "czarna skrzynka" do której wchodzi sygnał CLK i
    > > dane D(11:0), na wyjściu mamy WY(11:0). Symulacja przelatuje
    > > poprawnie, ale szlag mnie trafia przy ocenie poprawności symulacji.
    > > Jak ostatni ciul wpisuję paluchami na klawiaturze kolejny dane z szyny
    > > WY(11:0) do excella i dopiero po iluś tam danych zapuszczam
    > > namalowanie wykresu. Nie da się jakoś sprytniej?
    >
    > Zrób testbencha który będzie zapisywał wyniki do pliku. Wymuszenia
    > też możesz odczytywać z pliku i w ten sposób możesz łatwo porównać
    > wyniki symulacji układu i implementacji programowej.
    >
    No i kurde tak mniej więcej zrobię. Co prawda fajnie by było, gdyby
    sam symulator miał wbudowaną funkcję "analogowego" wyświetlania
    zawartości szyny. Aldec ma taką funkcję. Jeden rzut oka i wiadomo czy
    układ działa poprawnie czy nie.

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: