eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronikaVHDL - konwersja bin2bcdRe: VHDL - konwersja bin2bcd
  • Data: 2020-12-07 18:58:01
    Temat: Re: VHDL - konwersja bin2bcd
    Od: Atlantis <m...@w...pl> szukaj wiadomości tego autora
    [ pokaż wszystkie nagłówki ]

    On 07.12.2020 18:11, J.F. wrote:

    > Ale jakies nieokragle liczby, typu np 43 czy 56 ?
    > Czy mozesz to podzielic na licznik do 10 i np do 6 na starszej cyfrze ?

    To ma być klasyczny zegar, który później chciałbym wzbogacić o funkcję
    synchronizacji z DCF77. Typowy projekt edukacyjny. ;)
    Dlatego też nie byłoby większego problemu ze zliczaniem sekund i minut -
    młodsza część zawsze liczy od 0 do 9, od 0 do 5.
    Bardziej problematyczny jest licznik. Zależy mi trynie 24 godzinnym,
    więc mamy do czynienia z kilkoma możliwościami:
    - Jeśli starsza cyfra wynosi 0 ub 1, młodsza liczy od 0 do 9.
    - Jeśli starsza cyfra wynosi 2, młodsza liczy od 0 do 3.

    Prościej mi było to zaimplementować w postaci licznika binarnego i
    tłumaczyć na BCD.

    BTW czy gdzieś w VHDL-u istnieje możliwość uzyskania czegoś na wzór
    kompilacji warunkowej z języków programowania? Mam na myśli coś takiego,
    że przekazuje przez generic określoną wartość i w zależności od niej
    tworzę (lub nie) pewne sygnały wewnątrz portu albo architektury.
    Pozwoliłoby mi to zawsze oszczędzić trochę zasobów, bo w chwili obecnej
    niektóre instancje posiadają wyprowadzone sygnały, które są zdefiniowane
    w komponencie, ale których te konkretne instancje nie potrzebują.

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: