eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronikaVHDL - konwersja bin2bcdRe: VHDL - konwersja bin2bcd
  • X-Received: by 2002:a05:620a:11a4:: with SMTP id c4mr13359383qkk.8.1607140482093;
    Fri, 04 Dec 2020 19:54:42 -0800 (PST)
    X-Received: by 2002:a05:620a:11a4:: with SMTP id c4mr13359383qkk.8.1607140482093;
    Fri, 04 Dec 2020 19:54:42 -0800 (PST)
    Path: news-archive.icm.edu.pl!news.icm.edu.pl!newsfeed.pionier.net.pl!3.eu.feeder.erj
    e.net!feeder.erje.net!news2.arglkargh.de!news.mixmin.net!proxad.net!feeder1-2.p
    roxad.net!209.85.160.216.MISMATCH!news-out.google.com!nntp.google.com!postnews.
    google.com!google-groups.googlegroups.com!not-for-mail
    Newsgroups: pl.misc.elektronika
    Date: Fri, 4 Dec 2020 19:54:41 -0800 (PST)
    In-Reply-To: <5fcaa34b$0$523$65785112@news.neostrada.pl>
    Complaints-To: g...@g...com
    Injection-Info: google-groups.googlegroups.com; posting-host=185.234.91.176;
    posting-account=67yd9woAAAAHUu8VHyA7Js47M98NE3m3
    NNTP-Posting-Host: 185.234.91.176
    References: <5fcaa34b$0$523$65785112@news.neostrada.pl>
    User-Agent: G2/1.0
    MIME-Version: 1.0
    Message-ID: <c...@g...com>
    Subject: Re: VHDL - konwersja bin2bcd
    From: Stachu Chebel <s...@g...com>
    Injection-Date: Sat, 05 Dec 2020 03:54:42 +0000
    Content-Type: text/plain; charset="UTF-8"
    Content-Transfer-Encoding: quoted-printable
    Xref: news-archive.icm.edu.pl pl.misc.elektronika:759826
    [ ukryj nagłówki ]

    piątek, 4 grudnia 2020 o 22:00:03 UTC+1 Atlantis napisał(a):
    > W ramach poznawania podstaw VHDL-a na CPLD pracuję obecnie nad pewnym
    > projektem. O ile bez większego problemu udało mi się napisać większość
    > potrzebnych komponentów (dzielnik częstotliwości, licznik binarny,
    > sterownik wyświetlacza siedmiosegmentowego).
    > Niestety - wygląda na to, że utknąłem na zagadnieniu konwersji liczby
    > zakodowanej binarnie na kod BCD. Na wejściu komponentu mam siedmiobitowy
    > STD_LOGIC_VECTOR, przez ktory przekazuję wartość mieszczącą się między 0
    > i 99. Na wyjściu znajduje się ośmiobitowy STD_LOGIC_VECTOR. Jego starszy
    > półbajt ma mieścić liczbę dziesiątek, młodszy liczbę jedności.
    >
    > Wydawało mi się, że mogę to zrobić prosto, tak samo jak na
    > mikrokontrolerach - dziesiątki uzyskując za pomocą dzielenia przez 10, a
    > jednostki za sprawą operacji modulo 10.
    >
    > Napisałem więc coś następującego:
    >
    > bcdval(7 DOWNTO 4) <= binval/10;
    > bcdval(7 DOWNTO 0) <= binval MOD 10;
    >
    > Niestety, synteza kodu wywala się właśnie w tym miejscu, zwracając błąd:
    > "Operator <DIVIDE> must have constnt operands or first operand must be
    > power of 2".
    >
    > Wygląda więc na to, że nie mogę w prosty sposób wykonać dzielenia
    > dowolnej liczby przez inną dowolną liczbę.
    >
    > Jak w takim razie powinien być zrealizowany taki konwerter?

    Nie ma się co dziwić że wywala błąd. Przy VHDL'u musisz myśleć "sprzętowo" a nie
    "programowo".
    Można co prawda zdefiniować moduł dzielenia, ale jest przy tym trochę zabawy.
    Tymczasem
    w sieci jest od cholery gotowych źródeł. Wpisz w szukarce : vhdl binary to bcd.
    Można jeszcze inaczej. Ja bym zamiast CPLD zastosował FPGA. Cena najmniej zasobnych
    FPGA
    jest w zasadzie taka sama jak CPLD, a możliwości i zasoby o wiele większe. Wystarczy
    że zdefiniujesz
    sobie w FPGA 256-bajtowy ROM lub RAM, wpiszesz tabelkę konwersji i po ptokach.

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: