eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronikaFPGA VHDL Verilog CPLD
Ilość wypowiedzi w tym wątku: 23

  • 11. Data: 2009-07-22 14:22:27
    Temat: Re: FPGA VHDL Verilog CPLD
    Od: voices <v...@z...pbz>

    glatocha wrote:
    > Witam,
    > Czy orientuje się ktoś jak wygląda z popularnością układów
    > programowalnych? Chciałbym się nauczyć w miarę porządnie jakiegoś języka
    > opisu sprzętu. Na razie zacznę od CPLD Xilinxa i teraz pytanie VHDL czy
    > Verilog? Czy w WebISE można używać obydwóch?

    Zdecydowanie xilinx i verilog.

    Poszukaj sam w internecie, co jak najpopularniejsze, do czego jest
    najwięcej materiałów, projektów.
    Choćby przykładowo na opencores.org.
    Wybór będzie jasny.

    W profesjonalnych rozwiązaniach, np. w centralach i innym sprzęcie
    telekomunikacyjnym różnych firm wszędzie widuję xilinx'a, a altera to
    egzotyka.
    Sądzę, że altera zakorzeniła się mocno w świadomości wielu Polaków z
    powodu promowania jej na uczelniach.

    Co do języka, mi wystarczyło spojrzeć na podobny kod napisany w vhdl'u i
    verilog'u, aby wybrać ten drugi.

    pozdrawiam,
    voices


  • 12. Data: 2009-07-22 14:52:34
    Temat: Re: FPGA VHDL Verilog CPLD
    Od: "Pszemol" <P...@P...com>

    "voices" <v...@z...pbz> wrote in message
    news:h477b6$h3e$1@portraits.wsisiz.edu.pl...
    > Sądzę, że altera zakorzeniła się mocno w świadomości wielu
    > Polaków z powodu promowania jej na uczelniach.

    A to ciekawe spostrzeżenie bo na EAiE AGH w Krakowie króluje Xilinx.


  • 13. Data: 2009-07-22 20:24:16
    Temat: Re: FPGA VHDL Verilog CPLD
    Od: Jerry1111 <j...@w...pl.pl.wp>

    Pszemol wrote:
    >> Właśnie Xilinx ma Spartana 3AN FPGA z Flashem, tylko chyba to się tam
    >> odbywa tak, że jest SRAM normalny z konfiguracją i po prostu ten Flash
    >> jest zabudowany w tej samej kostce.
    >
    > Niech zgadnę - taka hybryda będzie cholernie droga, bo FPGA robi
    > się innymi technologiami niż flash, a więc będziesz pewnie miał dwa
    > kryształy w jednej obudowie...

    Z drugiej strony EPCS4 tez do najtanszych nie nalezy.

    >> Z tymi przerwaniami to faktycznie dłuuuugo.
    >> Ale wyobrażam sobie to tak, że mam jądro i resztę w miarę konfigurowalną
    >> w jakiejś tam przestrzeni adresowej to znaczy jak potrzebuję 20
    >> liczników i 30 PWMow to sobie to w granicach zasobów mogę zrobić. A jak
    >> nie używam Watchdoga to go wycinam z korzeniami, a nie tylko wyłączam
    >> jak w zwykłym procku.
    >
    > Dobrze sobie wyobrażasz... Dodatkowo nie masz takich ograniczeń
    > jak jest w typowych prockach że masz np. 2 uarty, SPI, 3 timery i...
    > I kiszka. Jak potrzebujesz 4 uarty to szukasz innego proca albo
    > rzeźbisz bitowo softwareowego uarta... W Niosie jak potrzebujesz
    > 12 uartów pracujących równolegle to sobie tak go konfigurujesz...
    > Jak potrzebujesz 10 timerów i 4 kanały DMA to sobie tak robisz i już masz.

    U mnie gdzies co trzecie urzadzenie konczy z Niosem wlasnie ze wzgledu
    na swobode wyboru wszystkich (nieraz cudacznych i pisanych w firmie)
    peryferiow. Duzo prosciej.

    >> Ale tak jak pisałem, zacznę od jakiś liczników czy rejestrów przesównych
    >> a potem będę szalał z czym innym. Widzę, że biegły jesteś w tematyce, to
    >> pozwolę sobie Ciebie zapamiętać i w razie czego kiedyś podpytać ;)
    >
    > Biegły jak biegły - używałem 3 kostek FPGA Altery i 2 CPLD... to niewiele
    > ale jakieś tam minimalne doświadczenie już mam...
    >
    > Acha, i weź pod uwagę że Altera oferuje w software Quartusa całkiem
    > niezły edytor schematów, więc praktycznie w ogóle nie musisz pisać
    > niczego w VHDL czy Verilogu - po prostu rysujesz sobie brameczki
    > flip-flopy i jeśli tylko rozumiesz co się dzieje z tymi obrazkami potem
    > to możesz jechać na tym do końca nie pisząc ani jednej linii VHDLa.

    Ekhm... to zrob se state-machine na bramkach tak, zeby to jeszcze
    zrozumiec. Pewnych rzeczy nie warto rysowac jako schemat.


    --
    Jerry1111


  • 14. Data: 2009-07-22 21:53:48
    Temat: Re: FPGA VHDL Verilog CPLD
    Od: Michał Baszyński <m...@g...ze.ta.pl>

    glatocha pisze:

    > Czy Altera i Lattice też mają wersję z Flashem? Na stronach się nie
    > dokopałem.

    Lattice ma wywodzącą się jeszcze z AMD rodzinę MACH, w jej ramach masz
    między innymi układy Mach XO z wbudowanym flashem

    --
    Pozdr.
    Michał


  • 15. Data: 2009-07-22 22:35:08
    Temat: Re: FPGA VHDL Verilog CPLD
    Od: "Pszemol" <P...@P...com>

    "Jerry1111" <j...@w...pl.pl.wp> wrote in message
    news:h47shi$5bm$1@news.onet.pl...
    > Pszemol wrote:
    >>> Właśnie Xilinx ma Spartana 3AN FPGA z Flashem, tylko chyba to się tam
    >>> odbywa tak, że jest SRAM normalny z konfiguracją i po prostu ten Flash
    >>> jest zabudowany w tej samej kostce.
    >>
    >> Niech zgadnę - taka hybryda będzie cholernie droga, bo FPGA robi
    >> się innymi technologiami niż flash, a więc będziesz pewnie miał dwa
    >> kryształy w jednej obudowie...
    >
    > Z drugiej strony EPCS4 tez do najtanszych nie nalezy.

    Mi się podobają te większe Cyclony III co mają do konfiguracji
    interfejs do równoległego CFI flasza... Jeszcze się nimi nie miałem
    okazji bawić (w projekcie użyłem największego który jeszcze tej
    opcji nie miał) ale wtedy dałoby się zrobić np. jedną kostkę CFI
    która będzie działać jako pamięć programu dla Niosa+konfig FPGA.
    Pamięć CFI jest dziś dużo, dużo tańsza niż EPCSy.

    >>> Z tymi przerwaniami to faktycznie dłuuuugo.
    >>> Ale wyobrażam sobie to tak, że mam jądro i resztę w miarę konfigurowalną
    >>> w jakiejś tam przestrzeni adresowej to znaczy jak potrzebuję 20
    >>> liczników i 30 PWMow to sobie to w granicach zasobów mogę zrobić. A jak
    >>> nie używam Watchdoga to go wycinam z korzeniami, a nie tylko wyłączam
    >>> jak w zwykłym procku.
    >>
    >> Dobrze sobie wyobrażasz... Dodatkowo nie masz takich ograniczeń
    >> jak jest w typowych prockach że masz np. 2 uarty, SPI, 3 timery i...
    >> I kiszka. Jak potrzebujesz 4 uarty to szukasz innego proca albo
    >> rzeźbisz bitowo softwareowego uarta... W Niosie jak potrzebujesz
    >> 12 uartów pracujących równolegle to sobie tak go konfigurujesz...
    >> Jak potrzebujesz 10 timerów i 4 kanały DMA to sobie tak robisz i już
    >> masz.
    >
    > U mnie gdzies co trzecie urzadzenie konczy z Niosem wlasnie ze wzgledu na
    > swobode wyboru wszystkich (nieraz cudacznych i pisanych w firmie)
    > peryferiow. Duzo prosciej.
    >
    >>> Ale tak jak pisałem, zacznę od jakiś liczników czy rejestrów przesównych
    >>> a potem będę szalał z czym innym. Widzę, że biegły jesteś w tematyce, to
    >>> pozwolę sobie Ciebie zapamiętać i w razie czego kiedyś podpytać ;)
    >>
    >> Biegły jak biegły - używałem 3 kostek FPGA Altery i 2 CPLD... to niewiele
    >> ale jakieś tam minimalne doświadczenie już mam...
    >>
    >> Acha, i weź pod uwagę że Altera oferuje w software Quartusa całkiem
    >> niezły edytor schematów, więc praktycznie w ogóle nie musisz pisać
    >> niczego w VHDL czy Verilogu - po prostu rysujesz sobie brameczki
    >> flip-flopy i jeśli tylko rozumiesz co się dzieje z tymi obrazkami potem
    >> to możesz jechać na tym do końca nie pisząc ani jednej linii VHDLa.
    >
    > Ekhm... to zrob se state-machine na bramkach tak, zeby to jeszcze
    > zrozumiec. Pewnych rzeczy nie warto rysowac jako schemat.

    Piękne jest właśnie to, że jak chcesz VHDL to piszesz moduł w VHDL,
    potem inny piszesz w Verilogu, bo akurat kolega takie zna język lepiej
    albo masz gotowca z netu, a jeszcze inny moduł rysujesz bramkami
    bo do Ciebie to lepiej przemawia, lub chcesz sensownie wydrukować
    ilustrację zrozumiałą dla starszego elektronika który bramki ma
    w jednym palcu u nogi ale z VHDLem czy Verilogiem się nie zetknął.
    Wszystko razem do kupy się łączy i modeluje jakby było z tej samej
    rodzinki - coś tak jak w software możesz kompilować C, Pascala czy
    jakiś nie wiem Fortran do obj-tów i potem łączyć to wszystko linkerem.
    Tylko że tu się to wszystko dzieje za sceną, wbudowane jest ładnie w system.
    Nie używałem softu Xilinxa ale Quartus, te najnowsze wersje, są fajne.


  • 16. Data: 2009-07-23 06:47:08
    Temat: Re: FPGA VHDL Verilog CPLD
    Od: "Artur M. Piwko" <m...@b...pl>

    In the darkest hour on Wed, 22 Jul 2009 17:35:08 -0500,
    Pszemol <P...@P...com> screamed:
    >>> Acha, i weź pod uwagę że Altera oferuje w software Quartusa całkiem
    >>> niezły edytor schematów, więc praktycznie w ogóle nie musisz pisać
    >>> niczego w VHDL czy Verilogu - po prostu rysujesz sobie brameczki
    >>> flip-flopy i jeśli tylko rozumiesz co się dzieje z tymi obrazkami potem
    >>> to możesz jechać na tym do końca nie pisząc ani jednej linii VHDLa.
    >>
    >> Ekhm... to zrob se state-machine na bramkach tak, zeby to jeszcze
    >> zrozumiec. Pewnych rzeczy nie warto rysowac jako schemat.
    >
    > Piękne jest właśnie to, że jak chcesz VHDL to piszesz moduł w VHDL,
    > potem inny piszesz w Verilogu, bo akurat kolega takie zna język lepiej
    > albo masz gotowca z netu, a jeszcze inny moduł rysujesz bramkami
    > bo do Ciebie to lepiej przemawia,

    Ale w tym ostatnim przypadku trzeba pamiętać o tym, że jest to
    rozwiązanie nieprzenośne. Zmienisz producenta FPGA i rysować musisz
    od zera.

    --
    [ Artur M. Piwko : Pipen : AMP29-RIPE : RLU:100918 : From == Trap! : SIG:229B ]
    [ 08:46:13 user up 12142 days, 20:41, 1 user, load average: 0.24, 0.10, 0.31 ]

    USER ERROR: replace user and press any key to continue.


  • 17. Data: 2009-07-23 06:49:31
    Temat: Re: FPGA VHDL Verilog CPLD
    Od: "Artur M. Piwko" <m...@b...pl>

    In the darkest hour on Fri, 17 Jul 2009 00:57:12 +0200,
    glatocha <g...@g...com> screamed:
    > No do VHDLa się jakoś przekonałem natomiast co do Altery i Xilinxa, to
    > na razie mam to CPLD Xilinxa więc z softem tak, czy tak muszę powalczyć.
    > A do spartana, po prostu przekonuje mnie bardziej rozbudowana płytka niż
    > do cyclonów. Oczywiście mówię o tych najtańszych za 200$.
    >
    > Ale FPGA to sprawa przyszłości na razie VHDLa muszę opanować.
    >

    A ja poleciłbym Xilinxa i Verilog. Verilog w porównaniu z VHDL-em to
    syntactic sugar. Jest mniej nadmiarowy jeśli o składnię chodzi i bardziej
    przypomina C (co dla mnie jest zaletą).

    --
    [ Artur M. Piwko : Pipen : AMP29-RIPE : RLU:100918 : From == Trap! : SIG:240B ]
    [ 08:47:47 user up 12142 days, 20:42, 1 user, load average: 0.24, 0.10, 0.31 ]

    There can never be a computer language in which you cannot write a bad program.


  • 18. Data: 2009-07-23 07:17:07
    Temat: Re: FPGA VHDL Verilog CPLD
    Od: "Pszemol" <P...@P...com>

    "Artur M. Piwko" <m...@b...pl> wrote in message
    news:slrnh6g1rc.qq0.milusi.pysiaczek@buziaczek.pl...
    > In the darkest hour on Wed, 22 Jul 2009 17:35:08 -0500,
    > Pszemol <P...@P...com> screamed:
    >>>> Acha, i weź pod uwagę że Altera oferuje w software Quartusa całkiem
    >>>> niezły edytor schematów, więc praktycznie w ogóle nie musisz pisać
    >>>> niczego w VHDL czy Verilogu - po prostu rysujesz sobie brameczki
    >>>> flip-flopy i jeśli tylko rozumiesz co się dzieje z tymi obrazkami potem
    >>>> to możesz jechać na tym do końca nie pisząc ani jednej linii VHDLa.
    >>>
    >>> Ekhm... to zrob se state-machine na bramkach tak, zeby to jeszcze
    >>> zrozumiec. Pewnych rzeczy nie warto rysowac jako schemat.
    >>
    >> Piękne jest właśnie to, że jak chcesz VHDL to piszesz moduł w VHDL,
    >> potem inny piszesz w Verilogu, bo akurat kolega takie zna język lepiej
    >> albo masz gotowca z netu, a jeszcze inny moduł rysujesz bramkami
    >> bo do Ciebie to lepiej przemawia,
    >
    > Ale w tym ostatnim przypadku trzeba pamiętać o tym, że jest to
    > rozwiązanie nieprzenośne. Zmienisz producenta FPGA i rysować
    > musisz od zera.

    Szczerze mówiąc nie wierzę, aby ten VHDL czy Verilog przenosił
    się w 100%...


  • 19. Data: 2009-07-23 18:34:30
    Temat: Re: FPGA VHDL Verilog CPLD
    Od: "Artur M. Piwko" <m...@b...pl>

    In the darkest hour on Thu, 23 Jul 2009 02:17:07 -0500,
    Pszemol <P...@P...com> screamed:
    >>> Piękne jest właśnie to, że jak chcesz VHDL to piszesz moduł w VHDL,
    >>> potem inny piszesz w Verilogu, bo akurat kolega takie zna język lepiej
    >>> albo masz gotowca z netu, a jeszcze inny moduł rysujesz bramkami
    >>> bo do Ciebie to lepiej przemawia,
    >>
    >> Ale w tym ostatnim przypadku trzeba pamiętać o tym, że jest to
    >> rozwiązanie nieprzenośne. Zmienisz producenta FPGA i rysować
    >> musisz od zera.
    >
    > Szczerze mówiąc nie wierzę, aby ten VHDL czy Verilog przenosił
    > się w 100%...
    >

    Pokonfigurować nogi jednak trzeba... :> Ale to już innego praca
    lekka, łatwa i przyjemna. I jednorazowa.

    --
    [ Artur M. Piwko : Pipen : AMP29-RIPE : RLU:100918 : From == Trap! : SIG:230B ]
    [ 20:33:37 user up 12142 days, 8:28, 1 user, load average: 0.24, 0.10, 0.31 ]

    My heart's in the right place. I know, 'cuz I hid it there.


  • 20. Data: 2009-07-23 19:47:31
    Temat: Re: FPGA VHDL Verilog CPLD
    Od: "Pszemol" <P...@P...com>

    "Artur M. Piwko" <m...@b...pl> wrote in message
    news:slrnh6hb9m.587.milusi.pysiaczek@buziaczek.pl...
    > In the darkest hour on Thu, 23 Jul 2009 02:17:07 -0500,
    > Pszemol <P...@P...com> screamed:
    >>>> Piękne jest właśnie to, że jak chcesz VHDL to piszesz moduł w VHDL,
    >>>> potem inny piszesz w Verilogu, bo akurat kolega takie zna język lepiej
    >>>> albo masz gotowca z netu, a jeszcze inny moduł rysujesz bramkami
    >>>> bo do Ciebie to lepiej przemawia,
    >>>
    >>> Ale w tym ostatnim przypadku trzeba pamiętać o tym, że jest to
    >>> rozwiązanie nieprzenośne. Zmienisz producenta FPGA i rysować
    >>> musisz od zera.
    >>
    >> Szczerze mówiąc nie wierzę, aby ten VHDL czy Verilog przenosił
    >> się w 100%...
    >>
    >
    > Pokonfigurować nogi jednak trzeba... :> Ale to już innego praca
    > lekka, łatwa i przyjemna. I jednorazowa.

    Przecież są różnice pomiędzy scalakami dotyczące tego czy
    np. ff mają preset czy mają tylko reset, są różnice zachowania
    tych przerzutników przy globalnych resetach...
    Nie bardzo wierzę że każdy kod VHDL przeniesiesz na każdego
    fpga... w 100%.

strony : 1 . [ 2 ] . 3


Szukaj w grupach

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: