eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronika › Active-HDL edytor BDE
Ilość wypowiedzi w tym wątku: 3

  • 1. Data: 2010-03-18 20:13:37
    Temat: Active-HDL edytor BDE
    Od: SERG <m...@p...fm>


    Chce w edytorze BDE stworzyć układ w postaci schematu ideowego. Wejście
    mam w postaci magistrali x[5;0]. Nie wiem jak połączyć tą magistralę z
    wejściami bramek.
    Może ktoś zna się na tym :)


  • 2. Data: 2010-03-18 20:18:44
    Temat: Re: Active-HDL edytor BDE
    Od: SERG <m...@p...fm>

    Czy można zrobić tak jak w załączniku?


  • 3. Data: 2010-03-18 20:21:35
    Temat: Re: Active-HDL edytor BDE
    Od: SERG <m...@p...fm>

    Czy można to tak połączyć jak na obrazu z linka?
    http://picasaweb.google.pl/marcinserg/Buty#545007141
    6161139250

strony : [ 1 ]


Szukaj w grupach

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: