eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.rec.telewizja › dvb-t analizy
Ilość wypowiedzi w tym wątku: 40

  • 11. Data: 2011-05-12 13:17:09
    Temat: Re: dvb-t analizy
    Od: Szymon Wyrembak <_@_.eu>

    W dniu 2011-05-12 14:55, pawell32 pisze:
    > W dniu 2011-05-12 14:46, VSS pisze:
    >> tak myślałem. ten WSKAŹNIK a nie miernik służy do określenia siły
    >> sygnału a nie pomiaru strumienia DVB-T. aby pomiar strumienia był
    >> kompletny potrzeba kilkunastu parametrów opisanych w odpowiednich
    >> normach. zapewniam Cię, że aby w pełni zmierzyć sygnał dvb-t potrzeba
    >> sprzętu za kilkanaście / kilkadziesiąt tysięcy złotych.
    >
    > zobaczymy. mam zamiar go kupić.
    > korzystałem z dwóch przyrządów Vectora i nie zawiodłem się.
    >

    Przecież ta zabawka mierzy tylko moc. Nic więcej.

    --
    (popraw adres e-mail: imie at nazwisko . eu)


  • 12. Data: 2011-05-12 13:52:57
    Temat: Re: dvb-t analizy
    Od: "VSS" <v...@o...pl>

    W wiadomości:4dcbd8d1$...@n...home.net.pl,
    pawell32 <p...@n...pl> nastukał:
    > zobaczymy. mam zamiar go kupić.
    > korzystałem z dwóch przyrządów Vectora i nie zawiodłem się.

    do ustawienia anteny ok, do niczego więcej.

    --
    VSS


  • 13. Data: 2011-05-12 16:00:16
    Temat: Re: dvb-t analizy
    Od: pawell32 <p...@n...pl>

    W dniu 12.05.2011 15:52, VSS pisze:
    > W wiadomości:4dcbd8d1$...@n...home.net.pl,
    > pawell32 <p...@n...pl> nastukał:
    >> zobaczymy. mam zamiar go kupić.
    >> korzystałem z dwóch przyrządów Vectora i nie zawiodłem się.
    >
    > do ustawienia anteny ok, do niczego więcej.
    >
    nie buduje sieci kablowych.
    jak bede taka siec robil to bedzie potrzebny, ale wowczas
    bedzie mnie stac wydac 16k, teraz nie.


  • 14. Data: 2011-05-12 16:07:44
    Temat: Re: dvb-t analizy
    Od: pawell32 <p...@n...pl>

    W dniu 12.05.2011 15:17, Szymon Wyrembak pisze:
    >
    > Przecież ta zabawka mierzy tylko moc. Nic więcej.

    te maja ciut wiecej
    http://www.satnet.com.pl/-produkty/pid,1251/Miernik-
    TV-naziemnej-DTA500-A-CCTV
    http://www.satsklep.pl/index.php?s=produkt&id_prod=6
    01


  • 15. Data: 2011-05-12 16:11:15
    Temat: Re: dvb-t analizy
    Od: pawell32 <p...@n...pl>

    W dniu 12.05.2011 15:17, Szymon Wyrembak pisze:
    >
    > Przecież ta zabawka mierzy tylko moc. Nic więcej.
    >

    do ustawienia anten poza sila sygnalu i stosunku nosnej
    do szumu chyba nie potrzeba.


  • 16. Data: 2011-05-12 16:12:59
    Temat: Re: dvb-t analizy
    Od: "Robert_J" <d...@o...pl>

    > zobaczymy. mam zamiar go kupić.
    > korzystałem z dwóch przyrządów Vectora i nie zawiodłem
    > się.

    Ja mam ten "miernik" :-). Tak jak mówią poprzednicy, on
    podaje tylko poziom sygnału, a w analogu jeszcze odstęp
    nośnej wizji i fonii w dB. W zasadzie nadaje się do
    identyfikacji czy sygnał jest czy go nie ma, ewentualnie
    delikatnej korecji poziomu wzmacniaczy budynkowych ;-). Do
    niczego więcej...
    A, i ta cena ze strony którą podałeś jest kosmiczna. Ja go
    kupiłem bodajże za ok. 500 zł ;-). Akurat był mi potrzebny
    dla montera, żeby nie biegał po osiedlu z dużym miernikiem
    na ramieniu...


  • 17. Data: 2011-05-12 16:15:57
    Temat: Re: dvb-t analizy
    Od: "Robert_J" <d...@o...pl>

    > aby w pełni zmierzyć sygnał dvb-t potrzeba sprzętu za
    > kilkanaście / kilkadziesiąt tysięcy złotych.

    Pytanie czy jest potrzeba kompletnego pomiaru wszystkich
    parametrów tego sygnału? Miernik o wystarczających
    możliwościach, na dodatek z monitorem HD, możesz kupić za
    ok. 4.000 zł. Obsługuje DVB-T, DVB-C, DVB-S, DVB-S2. Trzymam
    właśnie w ręku jego uboższą wersję, tylko do DVB-S i S2 :-).
    Ten kosztuje 1.800 brutto.


  • 18. Data: 2011-05-12 16:18:14
    Temat: Re: dvb-t analizy
    Od: "Robert_J" <d...@o...pl>

    > do ustawienia anten poza sila sygnalu i stosunku nosnej
    > do szumu chyba nie potrzeba.

    Ale on nie mierzy stosunku nośna-szum :-).


  • 19. Data: 2011-05-12 16:18:42
    Temat: Re: dvb-t analizy
    Od: pawell32 <p...@n...pl>

    W dniu 12.05.2011 18:12, Robert_J pisze:
    >> zobaczymy. mam zamiar go kupić.
    >> korzystałem z dwóch przyrządów Vectora i nie zawiodłem się.
    >
    > Ja mam ten "miernik" :-). Tak jak mówią poprzednicy, on podaje tylko
    > poziom sygnału, a w analogu jeszcze odstęp nośnej wizji i fonii w dB. W
    > zasadzie nadaje się do identyfikacji czy sygnał jest czy go nie ma,
    > ewentualnie delikatnej korecji poziomu wzmacniaczy budynkowych ;-). Do
    > niczego więcej...

    tak jak pisalem, glownie do ustawienia anten.

    > A, i ta cena ze strony którą podałeś jest kosmiczna. Ja go kupiłem
    > bodajże za ok. 500 zł ;-).

    2002 czy 2003?


  • 20. Data: 2011-05-12 16:18:58
    Temat: Re: dvb-t analizy
    Od: pawell32 <p...@n...pl>

    W dniu 12.05.2011 15:52, VSS pisze:
    > W wiadomości:4dcbd8d1$...@n...home.net.pl,
    > pawell32 <p...@n...pl> nastukał:
    >> zobaczymy. mam zamiar go kupić.
    >> korzystałem z dwóch przyrządów Vectora i nie zawiodłem się.
    >
    > do ustawienia anteny ok, do niczego więcej.
    >
    http://sklep.delta.poznan.pl/miernik-sygnalu-dvb-t-d
    igiair-pro_c243_p4375.html?ps_session=ba36962ba1a3c0
    e0a25a1448b68ed712

strony : 1 . [ 2 ] . 3 . 4


Szukaj w grupach

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: