eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronikaNauka programowania FPGA
Ilość wypowiedzi w tym wątku: 108

  • 41. Data: 2018-02-09 11:42:53
    Temat: Re: Nauka programowania FPGA
    Od: Piotr Wyderski <p...@n...mil>

    J.F. wrote:

    > Poza tym ... nowoczesne uklady maja setki miliardow tranzystorow.
    > Jak chesz to narysowac ?
    > Nawet zakladajac, ze nie rysujemy tranzystorow czy np liczniki czy
    > rejestry.

    A jak zjeść słonia? Po kawałku. Jak w każdej dziedzinie inżynierii,
    i tu działa strukturalizacja. Bloczki składasz sobie z bramek/równań,
    większe bloczki z mniejszych bloczków i tak aż do celu. A skoro
    jednostką koncepcyjną jest moduł o dobrze określonych wejściach
    i wyjściach, to w miejscu użycia nie najmniejszej różnicy, jak powstał.

    > I wezmy na tapete ten Nixie zegar - z jednej strony fajnie by bylo,
    > jakbym to co pisalem narysowal Atlantisowi, ale z drugiej:
    > -uzywac starych elementow TTL ? Po co, CPLD nie jest ograniczona do ich
    > zasobu.

    Ale też nie zabrania ich używania. Zrób sobie bloczki/moduły
    reprezentujące Twoje ulubione TTLe i z nich sklejaj, jeśli taka wola.
    Syntezator i tak przecież zignoruje Twoją strukturę i narzuci swoją,
    pasującą do konkretnego układu.

    > -uzywac abstrakcyjnych licznik/rejestr/dekoder ... pogladowo swietnie,
    > ale one maja duzo opcji, trzeba sie za bardzo skupic na prawidlowym
    > okresleniu wszystkich, zeby dzialalo :-)

    Wiele z nich istnieje w postaci gotowców w sieci, nie musisz poświęcać
    na to własnego czasu.

    Ja wolę mixed-mode. Podstawowe/dziwne bloczki mam w Verilogu, ale potem
    składam z tego serie hierarchicznych schematów, bo w ten sposób widzę od
    razu, jak informacja płynie w układzie. Skoro ludzki mózg jest
    dostosowany do przetwarzania informacji w postaci graficznej, to po
    co mam zamulać obraz sytuacji tekstem? Ja nie jestem software house,
    dla którego istotne jest wydajne wspieranie projektów zespołowych
    i przenośność bazy kodu. Mam luksus stawiania na czytelność intencji, to
    z niego korzystam.

    Pozdrawiam, Piotr



  • 42. Data: 2018-02-09 14:30:39
    Temat: Re: Nauka programowania FPGA
    Od: "J.F." <j...@p...onet.pl>

    Użytkownik "Piotr Wyderski" napisał w wiadomości grup
    dyskusyjnych:p5jtvd$u9l$...@n...news.atman.pl...
    J.F. wrote:
    >> I wezmy na tapete ten Nixie zegar - z jednej strony fajnie by bylo,
    >> jakbym to co pisalem narysowal Atlantisowi, ale z drugiej:
    >> -uzywac starych elementow TTL ? Po co, CPLD nie jest ograniczona do
    >> ich
    >> zasobu.

    >Ale też nie zabrania ich używania. Zrób sobie bloczki/moduły
    >reprezentujące Twoje ulubione TTLe i z nich sklejaj, jeśli taka wola.

    No wlasnie nie widze sensu, mialy te zalete, ze kiedys je w miare
    dobrze znalem, wiec szansa popelnienia bledu mniejsza, ale ... po co
    sie ograniczac ...

    >Syntezator i tak przecież zignoruje Twoją strukturę i narzuci swoją,
    >pasującą do konkretnego układu.

    Hm, jakbym tak uzyl konkretnego TTL ... nie musialby uwzglednic
    szczegolow jego budowy, ktore w danym projekcie sa calkowicie
    nieistotne, alevon o tym nie wie, a zamodelowane ma (dla starych
    inzynierow) ?

    >>> -uzywac abstrakcyjnych licznik/rejestr/dekoder ... pogladowo
    >>> swietnie,
    >> ale one maja duzo opcji, trzeba sie za bardzo skupic na prawidlowym
    >> okresleniu wszystkich, zeby dzialalo :-)

    >Wiele z nich istnieje w postaci gotowców w sieci, nie musisz
    >poświęcać
    >na to własnego czasu.

    >Ja wolę mixed-mode. Podstawowe/dziwne bloczki mam w Verilogu, ale
    >potem
    >składam z tego serie hierarchicznych schematów, bo w ten sposób widzę
    >od razu, jak informacja płynie w układzie. Skoro ludzki mózg jest
    >dostosowany do przetwarzania informacji w postaci graficznej, to po
    >co mam zamulać obraz sytuacji tekstem?

    I to brzmi sensownie.

    J.


  • 43. Data: 2018-02-09 14:46:57
    Temat: Re: Nauka programowania FPGA
    Od: "J.F." <j...@p...onet.pl>

    Użytkownik stchebel napisał w wiadomości grup
    dyskusyjnych:d2d7393f-1066-49bb-b246-adda1931b7d7@go
    oglegroups.com...
    W dniu czwartek, 8 lutego 2018 21:25:45 UTC+1 użytkownik Sebastian
    Biały napisał:
    > Świat odchodzi od rysowania schematów [1]. To wynika z bardzo wielu
    > przyczyn ale najwazniejsze to jest niemożność stosowania technik
    > zapewniania jakości na takim designie. W zasadzie profesjonalny
    > hardware [...]
    >
    > [1] Nie wyssałem tego z palca.

    >Najdelikatniej jak umiem.. Nie pisz skąd te bzdury wyssałeś :)

    >Efektem owych bzdur są gnomy "inżynierskie", które nie rozumieją
    >podstaw elektroniki.. Baa.. Mało tego, mają tytuły mgr i nie mają
    >bladego pojęcia jak działa dioda.

    A po co im wiedziec jak dziala dioda ?

    Oni sa od projektowania ASIC, i maja placone np za wiedze jak dziala
    dekoder MPEG.



    J.




  • 44. Data: 2018-02-09 20:57:26
    Temat: Re: Nauka programowania FPGA
    Od: Sebastian Biały <h...@p...onet.pl>

    On 2/9/2018 11:27 AM, s...@g...com wrote:
    > Jak się nie znasz, to dej se spokój.. Piszesz, że FPGA ciężko połączyć z
    czymkolwiek.. I do tego jeszcze tanio.. Problem z logiką 5V? ŻADEN!! Bez żadnych
    buforów podciągających!! Ty chyba nie znasz marginesów działania TTL'ek.

    Jednym z powodów dla których tak cieżko przyjmują sie arduino na ARMach
    jest brak peryferiów obsługuących 3.3V. Niektore wyświetlacze nie
    dzialają z 3.3. Niektóre uklady I2C nie działają. itd itp. Lista jest
    dośc spora. Sytuacje poprawi upowszechnienie się innych klonów Arduino.
    Obecnie perferia działające z 3.3V sa w mniejszości.

    Co nikomu nie ogranicza uzywania lutownicy, buforów, rezystorów i
    czegokolwiek tam sobie wymyślą. Tylko że to jest dodatkow *trudnośc*.
    CPLD zdejmuje to brzemię i pozwala skupić sie na problemie a nie na
    detalach działania układów wejściowych. Tu nie ma mowy o wyższości CPLD
    nad FPGA, tylko o kosztach startu w tym temacie. CPLD jest tańszy z
    wielu powodów, od kosztu układów, przez peryferia po oprogramowanie
    (tutaj kosztem jest absurdalny rozmiar współczesnych środowisk)


  • 45. Data: 2018-02-09 21:16:32
    Temat: Re: Nauka programowania FPGA
    Od: Sebastian Biały <h...@p...onet.pl>

    On 2/9/2018 10:26 AM, s...@g...com wrote:
    >> Świat odchodzi od rysowania schematów [1]. To wynika z bardzo wielu
    >> przyczyn ale najwazniejsze to jest niemożność stosowania technik
    >> zapewniania jakości na takim designie. W zasadzie profesjonalny hardware
    >> produkuje się obecnie *wyłacznie* za pomocą opisu który pozwala na
    >> stosowanie annotacji, śledzenia wymagań, unit testowania (i kilku innych
    >> poziomów testowania), pracy w grupie, systemów kontroli wersji,
    >> wykrywania regresji, automatycznego lintowania itd. Rysowanie schematów
    >> jest marginesem do projektów migania diodami. To ślepa uliczka.
    >> [1] Nie wyssałem tego z palca.
    > Najdelikatniej jak umiem.. Nie pisz skąd te bzdury wyssałeś :)

    Widzisz, z miejsca gdzie siedzę zawodowo widać bardzo duzy kawałek rynku
    EDA od środka. Powiedzmy że wiem co jest obecnie stosowane na świecie i
    jakie techniki zarzadzania jakością stosowane są w dużych firmach
    zatrudniających tysiące programistów HDL. Jeśli wydaje Ci się że
    ktokolwiek z nich rysuje schematy w dyzych projektach to po prostu nie
    zauważyleś postepu. Owszem, rysuje się schematy w duperelowatych
    przypadkach migania dioda czy zrobienia jakiegoś bufora z DRAM i
    sporadycznie rysuje się schematy kiedy managerem jest Stasiek. Jesli
    chodzi o elektronikę dużej skali i cieżkich parametrów (lotnictwo,
    medycyna, wojsko) mozna tylko parsknąć śmiechem. Wszystkie, powtarzam,
    wszystkie duże firmy stosują zupełnie inne metody zarzadzania jakoscią
    niż armia Staśków gapiący sie w druty. Nawet nie zdajesz sobie sprawy
    jak wiele wydarzyło się w ostatnich 10 latach w tej dziedzinie i jak
    bardzo dramatycznie podniesiono wymogi jakościowe. W zasadzie cały
    proces obrotu pieniedzmi w EDA skupia sie obecnie na weryfikacji a nie
    na projektowaniu. Projektowanie jest trywialne wobec weryfikacji.

    > Kontrola wersji, regresja.. O czym Ty gościu p........ ?

    Mentalnie tkwisz ciagle w latach 60-tych. W międzyczasie HDL nabrały
    prawie wszystkich technik programistycznych z normalnego programowania,
    w szczególności wielu pojęć z Inżynieri Oprogramowania, jak rownież
    języki zmieniły się dramatycznie przypominając duże jezyki obiektowe.
    Takie rzeczy jak obiektowe uni testy, randomizacje, farmy regresyjne,
    weryfikacja formalna, śledzenie wymagań, kontrola wersji, wzorce
    projektowe, code review są *CODZIENNOŚCIĄ* w tej branży i pojawiły się
    tam głównie dlatego że Staśków jest mniej, za to więcej ludzi
    rozumiejących po co to wszystko wymyślono w programowaniu i dlaczego
    generuje to zysk i jakość. To że został na świecie jakiś Stasiek co
    uważa że gapienie się w druty rozwiązuje te problemy to nic nie można
    poradzić. Świat bez Staśka będzie taki sam.

    > Da się "elektronikom" wyprać mózg? Chyba tak...

    Nie masz pojęcia co krytykujesz. Nie wiesz co jest obecnie stosowane w
    EDA bo siedzisz od 30 lat w tym samym minimum lokalnym potrzeb robiąc
    swoje miganie diodami i bufory w DRAM. Nie ma sensu dyskutować o tym że
    można inaczej bo sila przyzwyczajenia jest ogromna. Pozwól jednak że
    porechoczę nieco słysząc opinie jednego misiaczka co gapi się w druty na
    temat rynku światowego co go na oczy nie widział. Zatrudnij się w
    większej firmie z rynku EDA, nawet w PL, zobaczysz na własne oczy jak
    się wychodzi z jaskini i gdzie świat jest obecnie. Raczej nie w
    rysowaniu schematów. Świat EDA w ostatnich 10 latach przeżył rewolucję
    na skale absurdalną. Przykro mi że przesiedziałeś ją w jaskini. Wielu w
    tej branzy nie wytrzymało tempa. Nie dziwie się, nauczenie się
    obiektowości kiedy przez 30 lat rysowało się miganie diodą uważając to
    za szczyt umiejętności bywa okrutnie trudne.

    I pamiętaj, ignorancja nie jest siłą.


  • 46. Data: 2018-02-10 12:55:20
    Temat: Re: Nauka programowania FPGA
    Od: s...@g...com

    W dniu piątek, 9 lutego 2018 21:16:46 UTC+1 użytkownik Sebastian Biały napisał:
    > On 2/9/2018 10:26 AM, s...@g...com wrote:
    > >> Świat odchodzi od rysowania schematów [1]. To wynika z bardzo wielu
    > >> przyczyn ale najwazniejsze to jest niemożność stosowania technik
    > >> zapewniania jakości na takim designie. W zasadzie profesjonalny hardware
    > >> produkuje się obecnie *wyłacznie* za pomocą opisu który pozwala na
    > >> stosowanie annotacji, śledzenia wymagań, unit testowania (i kilku innych
    > >> poziomów testowania), pracy w grupie, systemów kontroli wersji,
    > >> wykrywania regresji, automatycznego lintowania itd. Rysowanie schematów
    > >> jest marginesem do projektów migania diodami. To ślepa uliczka.
    > >> [1] Nie wyssałem tego z palca.

    Świat również wymyślił coś takiego jak ISO xxxx. System zarządzania jakością:) Cały
    ten pierdolnik powstał tylko po to, by dać naprawdę duże zarobki i możliwości
    łapówkarstwa dla totalnych nieuków i nierobów.

    > > Najdelikatniej jak umiem.. Nie pisz skąd te bzdury wyssałeś :)
    >
    > Widzisz, z miejsca gdzie siedzę zawodowo widać bardzo duzy kawałek rynku
    > EDA od środka. Powiedzmy że wiem co jest obecnie stosowane na świecie i
    > jakie techniki zarzadzania jakością stosowane są w dużych firmach
    > zatrudniających tysiące programistów HDL. Jeśli wydaje Ci się że
    > ktokolwiek z nich rysuje schematy w dyzych projektach to po prostu nie
    > zauważyleś postepu. Owszem, rysuje się schematy w duperelowatych
    > przypadkach migania dioda czy zrobienia jakiegoś bufora z DRAM i
    > sporadycznie rysuje się schematy kiedy managerem jest Stasiek. Jesli
    > chodzi o elektronikę dużej skali i cieżkich parametrów (lotnictwo,
    > medycyna, wojsko) mozna tylko parsknąć śmiechem. Wszystkie, powtarzam,
    > wszystkie duże firmy stosują zupełnie inne metody zarzadzania jakoscią
    > niż armia Staśków gapiący sie w druty. Nawet nie zdajesz sobie sprawy
    > jak wiele wydarzyło się w ostatnich 10 latach w tej dziedzinie i jak
    > bardzo dramatycznie podniesiono wymogi jakościowe. W zasadzie cały
    > proces obrotu pieniedzmi w EDA skupia sie obecnie na weryfikacji a nie
    > na projektowaniu. Projektowanie jest trywialne wobec weryfikacji.
    >

    No to teraz trzasnąłeś jak łysy grzywką o krawężnik. Po pierwsze, akurat zajmuję się
    diagnostyką medyczną. Piszesz, że projektowanie jest trywialne wobec weryfikacji...
    Ów Stasiek gapiący się na druty zaprojektował ultrasonograf, który oczywiście w
    swojej klasie powala np. Toshibę (modelu nie podaję z premedytacją). I nie jest to
    tylko subiektywna opinia Staśka. Wracając do tematu schemat/HDL, popatrz tutaj:

    http://imagizer.imageshack.us/a/img923/5962/Pjm3pT.j
    pg

    To jest demodulator AM. Ma do zrobienia sqrt(I^2+Q^2). Prawda, że na pierwszy rzut
    oka wiadomo o co chodzi? W postaci HDL całość tego? No problem, jedno kliknięcie i
    jak sobie tego życzysz dostaniesz wersję strukturalną w VHDL/Verilog. Do wyboru, do
    koloru.. Tyle, że zanim zrozumiesz o co w tym chodzi, spędzisz ładnych parę godzin.
    Jest tam też klocek "bmod". Opis behawioralny :

    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    use IEEE.NUMERIC_STD.ALL;
    library UNISIM;
    use UNISIM.VComponents.all;

    entity bmod is
    Port ( A : in STD_LOGIC_VECTOR (15 downto 0);
    CLK : in STD_LOGIC;
    C : out STD_LOGIC_VECTOR (15 downto 0));
    end bmod;

    architecture Behavioral of bmod is
    type Rejestr_Type is array (63 downto 0) of std_logic_vector(15 downto 0);
    signal Cs:std_logic_vector(21 downto 0):=(others=>'0');
    signal Rejestr:Rejestr_Type;
    shared variable Suma:integer;

    begin

    process(CLK)
    begin
    if rising_edge(CLK) then
    Rejestr<=Rejestr(62 downto 0)&A;
    Suma:=0;
    for i in 0 to 63 loop
    Suma:=Suma+to_integer(signed('0'&Rejestr(i)));
    end loop;
    Cs<=std_logic_vector(to_unsigned(Suma,22));
    end if;
    end process;
    C<=Cs(21 downto 6);
    end Behavioral;

    Co to robi? Mam nadzieję, że dasz sobie z tym radę, ale napisz tak szczerze.. Ile
    czasu zajęło Ci zrozumienie powyższego?

    > > Kontrola wersji, regresja.. O czym Ty gościu p........ ?
    >
    > Mentalnie tkwisz ciagle w latach 60-tych. W międzyczasie HDL nabrały
    > prawie wszystkich technik programistycznych z normalnego programowania,
    > w szczególności wielu pojęć z Inżynieri Oprogramowania, jak rownież
    > języki zmieniły się dramatycznie przypominając duże jezyki obiektowe.
    > Takie rzeczy jak obiektowe uni testy, randomizacje, farmy regresyjne,
    > weryfikacja formalna, śledzenie wymagań, kontrola wersji, wzorce
    > projektowe, code review są *CODZIENNOŚCIĄ* w tej branży i pojawiły się
    > tam głównie dlatego że Staśków jest mniej, za to więcej ludzi
    > rozumiejących po co to wszystko wymyślono w programowaniu i dlaczego
    > generuje to zysk i jakość. To że został na świecie jakiś Stasiek co
    > uważa że gapienie się w druty rozwiązuje te problemy to nic nie można
    > poradzić. Świat bez Staśka będzie taki sam.

    Taaak... Mentalnie jestem w latach '60:)) ISOxxxx wymaga rozwieszania nawet po
    kiblach banerów "Jakość jest dla nas najważniejsza":)) NIE ŻARTUJĘ!! Coś w stylu
    "PZPR przewodnią siłą Narodu". Baa.. Mało tego.. Świat poszedł tak do przodu, że
    wymyślił RoHS. Stasiek też jakoś jest w tym temacie zacofany, bo uważa że jest to o
    kant dupy rozbić. W temacie długości śledzi i kształcie bananów też jestem zacofany
    :))

    >
    > > Da się "elektronikom" wyprać mózg? Chyba tak...
    >
    > Nie masz pojęcia co krytykujesz. Nie wiesz co jest obecnie stosowane w
    > EDA bo siedzisz od 30 lat w tym samym minimum lokalnym potrzeb robiąc
    > swoje miganie diodami i bufory w DRAM. Nie ma sensu dyskutować o tym że
    > można inaczej bo sila przyzwyczajenia jest ogromna. Pozwól jednak że
    > porechoczę nieco słysząc opinie jednego misiaczka co gapi się w druty na
    > temat rynku światowego co go na oczy nie widział. Zatrudnij się w
    > większej firmie z rynku EDA, nawet w PL, zobaczysz na własne oczy jak
    > się wychodzi z jaskini i gdzie świat jest obecnie. Raczej nie w
    > rysowaniu schematów. Świat EDA w ostatnich 10 latach przeżył rewolucję
    > na skale absurdalną. Przykro mi że przesiedziałeś ją w jaskini. Wielu w
    > tej branzy nie wytrzymało tempa. Nie dziwie się, nauczenie się
    > obiektowości kiedy przez 30 lat rysowało się miganie diodą uważając to
    > za szczyt umiejętności bywa okrutnie trudne.
    >
    > I pamiętaj, ignorancja nie jest siłą.

    Siłą jest RoHS, kursy zarządzania jakością, byle sprzedać badziewie...
    Dlaczego gary Zeptera są droższe od tych z byle marketu, chociaż te z marketu są
    zrobione ze stali nierdzewnej? No ba.., bo te od Zeptera są ze stali szlachetnej :))


  • 47. Data: 2018-02-10 13:45:22
    Temat: Re: Nauka programowania FPGA
    Od: s...@g...com

    W dniu czwartek, 8 lutego 2018 21:38:44 UTC+1 użytkownik Sebastian Biały napisał:

    > b) FPGA zawierają dziwne peryferia jako bonus (np. gotowe uklady mnożące
    > albo konfigurowalną pamięć RAM)
    >

    Układy mnożące i konfigurowalny RAM nie są niczym dziwnym. Mentalnie jesteś w latach
    '60-tych.


  • 48. Data: 2018-02-10 14:24:01
    Temat: Re: Nauka programowania FPGA
    Od: Sebastian Biały <h...@p...onet.pl>

    On 2/10/2018 12:55 PM, s...@g...com wrote:
    > No to teraz trzasnąłeś jak łysy grzywką o krawężnik. Po pierwsze, akurat zajmuję
    się diagnostyką medyczną. Piszesz, że projektowanie jest trywialne wobec
    weryfikacji... Ów Stasiek gapiący się na druty zaprojektował ultrasonograf, który
    oczywiście w swojej klasie powala np. Toshibę (modelu nie podaję z premedytacją). I
    nie jest to tylko subiektywna opinia Staśka. Wracając do tematu schemat/HDL, popatrz
    tutaj:
    >
    > http://imagizer.imageshack.us/a/img923/5962/Pjm3pT.j
    pg
    >
    > To jest demodulator AM.

    Czyli skala migania diodą. A więc nic nie rozumiesz z tego gdzie obenie
    jest rynek EDA.

    > Co to robi?

    Nikt tego nie wie.

    Po pierwsze nie masz specyfikacji i śledzenia wymogów.

    Po drugie nie masz unit testów bądzie jakichkolwiek innych testów
    pozwalających okresli co to NAPRAWDĘ robi.

    Kazdy kto patrzy na kod ktory nie posiada TESTÓW i ma być stosowany w
    medycynie pierwsze co zrobi wyrzuci do koszta. Masz testy? Tak działa
    obecnie świat. Możesz oczywiście groźnie i komicznie tupać nogą
    stawiając słowo honoru ponad fakty.

    Ktoś kto przychodzi do Staska z pytaniem czy może mu zaprojektować
    demodulator AM zakłada że Stasiek poza tym że jest zajebisty ma jeszcze
    dowody formalne na takie twierdzenie. Sporo się zmieniło od czasu kiedy
    Staśki projektowały następne sterowniki zegarków szkolnych.

    > Mam nadzieję, że dasz sobie z tym radę, ale napisz tak szczerze.. Ile czasu zajęło
    Ci zrozumienie powyższego?

    Kompletnie nie rozumiesz o czym tutaj piszę. Mogę Ci przedstawić dowolny
    kawałek kodu z dowolnej dziedziny i tez naprężać mięśnie że nic z tego
    nie pojmiesz. A ty jak zwykle jesteś ignorantem któremu świat uciekł
    kilkanascie lat do przodu i dalej starasz się każdego pouczać o tym że w
    średniowieczu pisali tak a tak. Przegrałeś nascie lat rozwoju EDA, nie
    będziesz już w stanie tego nadgonić. Pozostanie tylko miganie diodami i
    pouczanie na grupach.

    > Taaak... Mentalnie jestem w latach '60:))

    Niz poza pustymi sloganami nie masz aby temu zaprzeczyć. Prawdopodobnie
    to wyniak z faktu że kręcisz się w miniaturowych projektach i nie
    rozumiesz z jakimi problemami musi się zmierzyć Qualcomm produkując
    swoje zabawki.

    > ISOxxxx

    Ani jeden z moich argumentów nie mowi nic o ISO. Aczkolwiek większość
    branż krytycznych przy projektowaniu wymaga wielu ISO a wiele z tych ISO
    to własnie opisy metodyki testowania i projektowania. Nie ma ISO
    mówiącego o kilkunastu Staśkach gapiących sie w druty. Za to znajdziesz
    np. wymogi konkretnego coverage. Nie spelnisz ich i milionem Staśków.
    Właśnie wylądowaleś w niszy migania diodami.

    Nie przypominam sobie aby w firmach EDA wisiały transparenty o
    zajebistości czegokolwiek. Widocznie nie mają tego ISO. Widze natomiast
    ludzi którzy potrafili wyjśc z bycia Staśkami, czasem dużym kosztem, ale
    zawsze z zyskiem.

    > Zeptera są ze stali szlachetnej :))

    Bredzisz już od rzeczy. To w sumie smutne patrzeć na to jak ktoś swoją
    niewiedzę i archaiczne zachowania stara się wypromować jako zalety. Nie
    masz pojecia o rynku EDA. Nie wiesz co się obecnie robi, jakimi
    metodami, jakimi ideami, jakimi skalami. Potrafisz tylko hejtować
    wszystko czego nie ogarniasz. A ogarniasz jak widać niewiele z
    współczesnego świata. Pustka, tylko wiatr świszczy.


  • 49. Data: 2018-02-10 15:15:46
    Temat: Re: Nauka programowania FPGA
    Od: Sebastian Biały <h...@p...onet.pl>

    On 2/10/2018 1:45 PM, s...@g...com wrote:
    >> b) FPGA zawierają dziwne peryferia jako bonus (np. gotowe uklady mnożące
    >> albo konfigurowalną pamięć RAM)
    > Układy mnożące i konfigurowalny RAM nie są niczym dziwnym. Mentalnie jesteś w
    latach '60-tych.

    W przecietnym CPLD je ciezko znaleźć, ale to kwestia cięcia cytatów.


  • 50. Data: 2018-02-10 16:30:35
    Temat: Re: Nauka programowania FPGA
    Od: Marek <f...@f...com>

    On Sat, 10 Feb 2018 14:24:01 +0100, Sebastian
    Biały<h...@p...onet.pl> wrote:
    > Niz poza pustymi sloganami nie masz aby temu zaprzeczyć.
    > Prawdopodobnie
    > to wyniak z faktu że kręcisz się w miniaturowych projektach i nie
    > rozumiesz z jakimi problemami musi się zmierzyć Qualcomm produkując
    > swoje zabawki.

    A czy ma to znaczenie nazwa firmy tyou Qualcomm czy Samsung?? Co
    umie spieprzyć Qualcomm czego by nie umiał np. Samsung?

    --
    Marek

strony : 1 ... 4 . [ 5 ] . 6 ... 11


Szukaj w grupach

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: