eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronikaFPGA - Xilinx › Re: FPGA - Xilinx
  • Data: 2013-10-18 13:37:07
    Temat: Re: FPGA - Xilinx
    Od: s...@g...com szukaj wiadomości tego autora
    [ pokaż wszystkie nagłówki ]

    W dniu piątek, 18 października 2013 10:09:34 UTC+2 użytkownik Adam Górski napisał:

    >
    >
    >
    > Gdzie widzisz problem z dodaniem IDELAY ?
    >
    > Z tego co czyta�em ma to prosty interfejs z sygna�em INC / DEC delay.
    >
    > Czyli podobnie jak w A jedna iteracja z tymi sygna�ami powoduje
    >
    > zwi�kszenie lub zmniejszenie op�nienia o ile� tam ps.
    >
    > No i trzeba jechaďż˝ aďż˝ siďż˝ zatrzasnďż˝ dobre dane.
    >
    >
    Dokładnie tak samo se to wyobrażam jak piszesz. Problem z tym, że nie za bardzo
    chwytam ten IODELAY2. OK, napiszę co wiem(rozumiem), a czego kompletnie nie załapuję.
    Jeżeli Ty rozumiesz czego ja niestety nie, i jeżeli mi to wytłumaczysz, to jest
    nadzieja że jakoś to w końcu zadziała na 80MHz. OK, krok po kroku:

    1) IDATAIN - input signal from IOB. No i już jest problem. Przecież dane mam LVDS.
    Czyli co? Domyślam się, że najpierw muszę wleźć przez IBUFDS. Zgadza się?

    2) CLK - IODELAY Clock input. Jaki cholera clock i po co?

    3) DATAOUT, DATAOUT2 - rozumiem, nie mam pytań

    4) CE, INC - no fajna sprawa, ino za cholerę nie wiem jak to obsługiwać. No bo jak
    przyłożę jedynkę na CE (Enable increment/decrement), to niby mam możliwość
    zwiększania/zmniejszania opóźnienia za pośrednictwem pinu INC. Czyli jak do diabłą?!
    Jak przywalę '1' na INC to zwiększę opóźnienie czy zmniejszę. No i kurde o ile? Jak
    mam kontrolować wartość zmiany ? Ni cholery nie łapię!

    No i teraz atrybuty:

    1) DATA_RATE - SDR lub DDR. A co to ma do rzeczy?

    Jeżeli możesz coś wyjaśnić, będę wdzięczny.

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: