eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronikaXILINX 7.1i - problemy z symulatorem
Ilość wypowiedzi w tym wątku: 2

  • 1. Data: 2010-10-01 23:07:30
    Temat: XILINX 7.1i - problemy z symulatorem
    Od: "MH" <l...@o...pl>

    Witam ,

    Totalnie 'wykrzaczył' mi się symulator.. Weżmy pod uwagę bardzo skomplikowany
    projekt : WJAZD=>INVERTER=>WYJAZD. Projekt namalowany na schemacie. Też zrobiłem
    ot tak dla próby tenże sam modół w VHDL'u , ten sam problem z symulacją.

    OK , generuję testbench'a , na wjeżdzie zegarek 10MHz. Testbench wygenerowany
    bez 'warningów' i bez errorów.



    1) Odpalam proces "Generate expected simulation results" , i otrzymuję komunikat
    Simulator:222 - Generated C++ compilation was unsuccessful
    Codegen work/A0/BEHAVIORAL: 0.12
    Codegen work/A0_TBW: 0.00


    2) Ten sam problem występuje przy uruchomieniu procesu "Simulate behavioral Model"

    3) Post Place and Rute - to samo


    3) Wchodzę na stronę Xilinx'a na 'Answer records' .. Dupa blada , po pierwsze ,
    nie funguje , po drugie na maile wysłane nie reagują. OLEWAJĄ !!

    3) Macie pomysła ??

    MH


    --
    Wysłano z serwisu OnetNiusy: http://niusy.onet.pl


  • 2. Data: 2010-10-03 11:13:45
    Temat: Re: XILINX 7.1i - problemy z symulatorem
    Od: Sylwester Łazar <i...@a...pl>

    > 3) Macie pomysła ??
    Poprzednia wersja <7.1i
    S.

strony : [ 1 ]


Szukaj w grupach

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: