eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronikaGranice Altiuma
Ilość wypowiedzi w tym wątku: 19

  • 11. Data: 2011-08-04 19:18:51
    Temat: Re: Granice Altiuma
    Od: "MiSter" <B...@W...PL>

    > tak, powiedzieli zebym kupil ich nowsza wersje.
    > A subskrypcja sie skonczyla.
    > Przesiadam sie na profesjonalne narzedzie. Szczegolnie ze lepiej jest
    > zintegrowane z hyperlynxem bez ktorego ciezko troche zyc.
    >

    Każdy program ma jakieś "fizyczne" granice i mankamenty. Jeśli piszący zna
    produkt doskonały, to niech takiego używa, a nie marnuje czasu na
    narzekanie.

    Rozwiązanie jest proste, upgrade do AD10. Troszkę kosztuje, ale co - Mentor
    daje dożywotnią subskrypcję do PADSa za darmo?! Albo gwarantuje, że za rok
    czy dwa będzie działał na Windows 8, otwierał dowolnie duże projekty i nie
    wiadomo, co jeszcze? Powodzenia z takim myśleniem ;-)




  • 12. Data: 2011-08-05 08:21:32
    Temat: Re: Granice Altiuma
    Od: "Greg(G.Kasprowicz)" <g...@u...gmail.com>

    W dniu 2011-08-04 21:18, MiSter pisze:
    >> tak, powiedzieli zebym kupil ich nowsza wersje.
    >> A subskrypcja sie skonczyla.
    >> Przesiadam sie na profesjonalne narzedzie. Szczegolnie ze lepiej jest
    >> zintegrowane z hyperlynxem bez ktorego ciezko troche zyc.
    >>
    >
    > Ka?dy program ma jakie? "fizyczne" granice i mankamenty. Je?li pisz?cy zna
    > produkt doskona?y, to niech takiego u?ywa, a nie marnuje czasu na
    > narzekanie.
    >
    > Rozwi?zanie jest proste, upgrade do AD10. Troszk? kosztuje, ale co - Mentor
    > daje do?ywotni? subskrypcj? do PADSa za darmo?! Albo gwarantuje, ?e za rok
    > czy dwa b?dzie dzia?a? na Windows 8, otwiera? dowolnie du?e projekty i nie
    > wiadomo, co jeszcze? Powodzenia z takim my?leniem ;-)
    >
    >
    >

    Nie narzekam lecz myslalem ze jest jakies obejscie tego problemu:P
    Wczoraj zainstalowalem eval AD10
    fakt, problemow z pamiecia nie ma juz wiecej, ale mimo to na szybkim
    nowym komputerze (i7 pro) reczne routowanie nadal idzie niezwykle wolno.
    Wylaczylem reguly zostawiajac tylko online DRC dotyczace clearance,
    powylaczalem wszystkie wodotryski i nadal ciezko sie pracuje.
    Klikam na sciezke, Altium po 3s zaczyna wykonywac polecenie. Do tego
    czesto zawisa na 10..30s. Nie ma to zwiazku z automatycznym backupem.
    Jest to dosyc irytujace.
    Projekt ma juz ponad 50 tys sciezek, 10 tys padow i najwyrazniej sobie
    nie radzi z taka iloscia.
    Podtrzymuje teze ze do odpowiednich projektow musza byc odpowiednie
    narzedzia i Altium najwyrazniej sobie nie radzi. W prostych projektach
    radzi sobie swietnie, a szkoda bo bardzo ten pakiet lubie, wyrzezbilem w
    nim juz ponad 150 projektow.
    PADS za to ma bardzo ascetyczny wyglad, przez co procesor skupia sie na
    projektowaniu a nie na rozbudowanym GUI :) WIdzialem jak kolega
    projektowal w PADSie plyte AMC z kilkunastoma duzymi FPGA i problemow
    nie mial...

    Moze ktos z was ma pomysl jak powiedziec Altiumowi ze dany komponent to
    FPGA ? Czy wogole mozna sammeu stworzyc komponent FPGA?
    Nie dziala mi autometyczne generowanie constraints do VHDLa w przypadku
    komponentow FPGA nie-altiumowych..
    Okazalo sie ze altiumowe mialy bledy i uzywam innych.
    GK


  • 13. Data: 2011-08-05 18:42:06
    Temat: Re: Granice Altiuma
    Od: "MiSter" <B...@W...PL>

    > Moze ktos z was ma pomysl jak powiedziec Altiumowi ze dany komponent to
    > FPGA ? Czy wogole mozna sammeu stworzyc komponent FPGA?
    > Nie dziala mi autometyczne generowanie constraints do VHDLa w przypadku

    Nie wiem dokładnie o co chodzi, ale poprostu instancjonujesz odpowiednie
    komponenty np.: bufg czy dcm Xilinxowy na swoim arkuszu VHDL
    i tyle. Pamiętać należy aby syntezer przełączyć z Altiumowego na Xilinxowy.

    MiSter



  • 14. Data: 2011-08-05 20:36:24
    Temat: Re: Granice Altiuma
    Od: "Greg(G.Kasprowicz)" <g...@u...gmail.com>


    > Nie wiem dok?adnie o co chodzi, ale poprostu instancjonujesz odpowiednie
    > komponenty np.: bufg czy dcm Xilinxowy na swoim arkuszu VHDL
    > i tyle. Pami?ta? nale?y aby syntezer prze??czy? z Altiumowego na Xilinxowy.
    >
    nie, chce uzyc zewnetrznych narzedzi do syntezy i debugowania.Altium ma
    tylko wygenerowac constrains.
    Na razie poradzilem sobie tak ze uzylem opcji pin swapping, wklielme do
    excela i dalej do ISE.


  • 15. Data: 2011-08-05 21:07:45
    Temat: Re: Granice Altiuma
    Od: "MiSter" <B...@W...PL>

    > nie, chce uzyc zewnetrznych narzedzi do syntezy i debugowania.Altium ma
    > tylko wygenerowac constrains.
    > Na razie poradzilem sobie tak ze uzylem opcji pin swapping, wklielme do
    > excela i dalej do ISE.

    Altium generuje z plików ograniczeń klasycznego xilinxowego UCF, również
    możesz mu zapodać "w drugą stronę" ucf jako vendor constrain.
    MiSter




  • 16. Data: 2011-08-09 07:45:25
    Temat: Re: Granice Altiuma
    Od: "Greg(G.Kasprowicz)" <g...@u...gmail.com>

    W dniu 2011-08-05 23:07, MiSter pisze:
    >> nie, chce uzyc zewnetrznych narzedzi do syntezy i debugowania.Altium ma
    >> tylko wygenerowac constrains.
    >> Na razie poradzilem sobie tak ze uzylem opcji pin swapping, wklielme do
    >> excela i dalej do ISE.
    >
    > Altium generuje z plik?w ogranicze? klasycznego xilinxowego UCF, r?wnie?
    > mo?esz mu zapoda? "w drug? stron?" ucf jako vendor constrain.
    > MiSter
    >
    tak, ale pod warunkiem ze jest to komponent FPGA Altiuma:)Sprobuj
    wygenerowac ucf lub constraints dla elementu FPGA ktory sam narysowales
    w edytorze bibliotek:)


  • 17. Data: 2011-08-09 07:53:41
    Temat: Re: Granice Altiuma
    Od: BartekK <s...@N...org>

    W dniu 2011-08-09 09:45, Greg(G.Kasprowicz) pisze:
    > W dniu 2011-08-05 23:07, MiSter pisze:
    >>> nie, chce uzyc zewnetrznych narzedzi do syntezy i debugowania.Altium ma
    >>> tylko wygenerowac constrains.
    >>> Na razie poradzilem sobie tak ze uzylem opcji pin swapping, wklielme do
    >>> excela i dalej do ISE.
    >>
    >> Altium generuje z plik?w ogranicze? klasycznego xilinxowego UCF, r?wnie?
    >> mo?esz mu zapoda? "w drug? stron?" ucf jako vendor constrain.
    >> MiSter
    >>
    > tak, ale pod warunkiem ze jest to komponent FPGA Altiuma:)Sprobuj
    > wygenerowac ucf lub constraints dla elementu FPGA ktory sam narysowales
    > w edytorze bibliotek:)
    Czyli to wszystko dowodzi, że Altium to tylko taki bardzo drogi gadżet
    dla hobbystów (lub profesjonalistów, ale projektujących zasilacz albo
    wzmacniacz audio, a nie coś poważnego). Jak tu cokolwiek robić, jak
    nigdy nie wiadomo co będzie jeśli się okaże że projekt trzeba przerobić
    na inne fpga albo dorzucić mu 10x większy rozmach. I co, wtedy
    przerzucać wszystko już zrobione do PADsa, bo Altium się udławił ?
    Daremny trud bo podwójny ;/

    --
    | Bartłomiej Kuźniewski
    | s...@d...org GG:23319 tel +48 696455098 http://drut.org/
    | http://www.allegro.pl/show_user_auctions.php?uid=338
    173


  • 18. Data: 2011-08-09 08:21:06
    Temat: Re: Granice Altiuma
    Od: Zbych <a...@o...pl>

    W dniu 2011-08-09 09:53, BartekK pisze:
    > W dniu 2011-08-09 09:45, Greg(G.Kasprowicz) pisze:
    >> W dniu 2011-08-05 23:07, MiSter pisze:
    >>>> nie, chce uzyc zewnetrznych narzedzi do syntezy i debugowania.Altium ma
    >>>> tylko wygenerowac constrains.
    >>>> Na razie poradzilem sobie tak ze uzylem opcji pin swapping, wklielme do
    >>>> excela i dalej do ISE.
    >>>
    >>> Altium generuje z plik?w ogranicze? klasycznego xilinxowego UCF, r?wnie?
    >>> mo?esz mu zapoda? "w drug? stron?" ucf jako vendor constrain.
    >>> MiSter
    >>>
    >> tak, ale pod warunkiem ze jest to komponent FPGA Altiuma:)Sprobuj
    >> wygenerowac ucf lub constraints dla elementu FPGA ktory sam narysowales
    >> w edytorze bibliotek:)
    > Czyli to wszystko dowodzi, że Altium to tylko taki bardzo drogi gadżet
    > dla hobbystów (lub profesjonalistów, ale projektujących zasilacz albo
    > wzmacniacz audio, a nie coś poważnego).

    Bez przesady. Nie każdy projektuje płyty z kilkunastoma FPGA po 1k pinów
    każdy.

    Ceny PADSa i Altium:

    http://www.gamma.pl/informacje-z-gammy/promocja-na-o
    programowanie-mentor-graphics-pads-es-suite-dla-uzyt
    kownikow-altiuma-p-cada-protela-dobiega-konca.html

    http://www.evatronix.com.pl/adw09/buying.html

    PADS ES ~14k$, Altium ~4kEuro.


  • 19. Data: 2011-08-10 09:21:16
    Temat: Re: Granice Altiuma
    Od: "Greg(G.Kasprowicz)" <g...@u...gmail.com>


    >
    > Bez przesady. Nie każdy projektuje płyty z kilkunastoma FPGA po 1k pinów
    > każdy.
    >
    > Ceny PADSa i Altium:
    >
    > http://www.gamma.pl/informacje-z-gammy/promocja-na-o
    programowanie-mentor-graphics-pads-es-suite-dla-uzyt
    kownikow-altiuma-p-cada-protela-dobiega-konca.html
    >
    >
    > http://www.evatronix.com.pl/adw09/buying.html
    >
    > PADS ES ~14k$, Altium ~4kEuro.

    zgadza sie.
    Altium jest bardzo intuicyjny i dla projektow z iloscia elementow nie
    przekraczajacych tysiaca i sciezek nie przekraczajacych 20 tys dziala
    swietnie i w miare szybko.
    Zrobilem sporo ponad setke projektow i jestem zadowolony. Szczegolnie w
    wersji 9.4 poprawili mocno stabilnosc. Tzn nawet jak sie wywali, to
    pozwala zapisac projekt:)
    Wersja 10 ma znacznie poprawione zarzadzanie pamiecia, i kilka licencji
    takiej zakupie.
    Rownoczesnie jednak przenosze sie na PADSa dla naprawde skomplikowanych
    projektow.

strony : 1 . [ 2 ]


Szukaj w grupach

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: