eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronikaCzy można zrealizować prosty algorytm PID w prostym CPLD np:XC9572Re: Czy można zrealizować prosty algorytm PID w prostym CPLD np:XC9572
  • Path: news-archive.icm.edu.pl!newsfeed.gazeta.pl!feed.news.interia.pl!news.nask.pl!ne
    ws.nask.org.pl!newsfeed00.sul.t-online.de!newsfeed01.sul.t-online.de!t-online.d
    e!newsfeed.neostrada.pl!unt-exc-02.news.neostrada.pl!atlantis.news.neostrada.pl
    !news.neostrada.pl!not-for-mail
    From: "Szumek" <k...@i...pl>
    Newsgroups: pl.misc.elektronika
    Subject: Re: Czy można zrealizować prosty algorytm PID w prostym CPLD np:XC9572
    Date: Sat, 5 Dec 2009 17:29:53 +0100
    Organization: TP - http://www.tp.pl/
    Lines: 50
    Message-ID: <hfe1ug$hs5$1@atlantis.news.neostrada.pl>
    References: <hf98t2$n37$1@atlantis.news.neostrada.pl>
    <l...@4...com>
    <hf9bs4$7g$1@atlantis.news.neostrada.pl> <hf9amg$4dt$1@news.interia.pl>
    <hf9e5h$get$1@nemesis.news.neostrada.pl>
    <hfafb2$gc$1@nemesis.news.neostrada.pl>
    <hfbqf4$ofa$1@nemesis.news.neostrada.pl>
    <hfc17f$s37$1@nemesis.news.neostrada.pl>
    <hfdo5h$q4i$1@atlantis.news.neostrada.pl> <hfdqvf$kev$1@inews.gazeta.pl>
    NNTP-Posting-Host: afdc135.neoplus.adsl.tpnet.pl
    X-Trace: atlantis.news.neostrada.pl 1260030737 18309 95.49.80.135 (5 Dec 2009
    16:32:17 GMT)
    X-Complaints-To: u...@n...neostrada.pl
    NNTP-Posting-Date: Sat, 5 Dec 2009 16:32:17 +0000 (UTC)
    X-Priority: 3
    X-MSMail-Priority: Normal
    X-Newsreader: Microsoft Outlook Express 6.00.2900.2180
    X-RFC2646: Format=Flowed; Response
    X-MimeOLE: Produced By Microsoft MimeOLE V6.00.2900.2180
    Xref: news-archive.icm.edu.pl pl.misc.elektronika:577142
    [ ukryj nagłówki ]


    Użytkownik "Konop" <k...@g...pl> napisał w wiadomości
    news:hfdqvf$kev$1@inews.gazeta.pl...
    >> ponieważ z CPLD dopiero zaczynam trudno mi jest ocenic co wejdzie do
    >> takeigo układu a co nie
    >> czy jego sasoby pozwolą na stworzenie to o czym my tu piszemy czy nie ?
    >
    > Co do CPLD - polecam poeksperymentować :)... ale tak "z góry" oszacować
    > wymagania też się da. Podstawowy problem to ilość makrocel, a co za tym
    > idzie też przerzutników... Musisz ocenić ile stanów ma obsługiwać
    > urządzenie... jeśli robisz licznik - no to potrzebujesz tyle makrocel ile
    > bitów ma licznik. Pamiętaj też o preskalerach częstotliwości (jeśli byś do
    > czegoś potrzebował ;P) - to też są liczniki. Jeśli potrzebujesz buforować
    > stan licznika - to podobnie znów drugie tyle bitów leci... jakieś
    > sterowanie - powiedzmy SPI, jeśli typowe - to 8 bitów zużywasz na
    > zapamiętanie sygnałów wejściowych/wyjściowych plus 3 bity, żeby policzyć
    > do 8 ;)... to takie minimum... więc dla licznika 32 bity z buforowaniem i
    > dostępem przez SPI potrzebujesz 75 makrocele... Lub więcej ;) Wszystko
    > zależy na ile masz zaawansowaną logikę... w większości przypadków
    > wystarczy logika "podpięta" do danej makroceli... Wówczas nie ma problemu.
    > Gorzej, gdy któraś funkcja "rośnie"... i jest zależna od dużej liczby
    > sygnałów... wtedy logika podłączona do innej makroceli zostaje
    > wykorzystana do jakiegoś sygnału "wewnętrznego", albo połączona z logiką
    > "sąsiednią" - i wtedy jakby maleje Ci liczba makrocel, którymi
    > dysponujesz...
    > Tak więc określasz minimum które potrzebujesz i pozostawiasz zapas. Warto
    > także wybrać takie układy, które mają swoje większe odpowiedniki ;)... Ja
    > się tak kiedyś wkopałem, wziąłem CPLD 64 makrocele w PLC44, nie starczyło
    > miejsca i psikus, wersji 128 makrocel nie można było dostać w tej obudowie
    > ;)... Warto projekt (prototyp) zrobić w większym układzie, gdy przejdzie
    > testy, można śmiało w programie eksperymentować w który układ kod się
    > wciśnie, a w który nie i później stosować już mniejszy (tańszy) układ...
    >
    > Pozdrawiam
    > Konop
    >
    > PS Oczywiście makrocele to nie wszystko... miałem projekt, który
    > "wchodził" w ukłąd XCR3064 (64 makrocel), a nie wchodził w układ XC9072XL
    > (72 makrocele)... ale nie będę Cię zamęczać szczegółami ;)...


    uC ze sprzętowym dekoderem kwadraurowym już widziałem wcześniej
    jednak narazie nie czuję się na siłach żeby walczyć z nimi, może później
    po drugie pewnie cena i dostępność w polsce pozostawia wiele do życzenia
    (choć zaraz poszukam i się spróbuje przekonać ...)

    a zasoby do upchnięcia do CPLD to jak pisałem wcześniej :
    "czyli dekoder kwadraturowy, licznik , sumator" i proponowany bufor kolegi


Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: