-
Data: 2009-11-20 21:54:57
Temat: Re: Włączenie zasilania - CPLD Xilinx
Od: Jerry1111 <j...@w...pl.pl.wp> szukaj wiadomości tego autora
[ pokaż wszystkie nagłówki ]fred wrote:
> Witam,
>
> Poszukuję sposobu na domyślne ustawianie stanów elementów w
> strutkturze CPLD.
>
> Mam logikę zaszytą w w układzie CPLD Xilinix XC2C64A. Logika działa
> ale po włączeniu zasilania ma przez chwilę "stan nieustalony"
>
> Czy macie jakieś pomysły jak to zablokować wewnętrznie w tej
> strukturze ?
>
> Do głowych przychodzi mi pomysły żeby zrobić pin reset z wewnętrzna
> logiką i podtrzymywać go przez chwilę po włączeniu zasilania
>
> Co Wy na to ??
Nie uzywam Xilinx, ale ponizszy generator dziala mi w Alterze:
Pewnie bedziesz musial doczytac jak w Xilinx definiowac stan power-up (a
jak juz doczytasz to pewnie resetu nie bedziesz potrzebowal).
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity reset_generator is
port (
clk : in std_logic;
nrst_output : out std_logic
);
end reset_generator;
architecture ar1 of reset_generator is
signal int_res : std_logic;
signal res_cnt : unsigned (2 downto 0) := "000";
attribute altera_attribute : string;
attribute altera_attribute of res_cnt : signal is "POWER_UP_LEVEL=LOW";
begin
nrst_output <= not int_res;
process (clk)
begin
if (rising_edge(clk)) then
if (res_cnt /= "111") then
res_cnt <= res_cnt + 1;
end if;
int_res <= not res_cnt(0) or not res_cnt(1) or not res_cnt(2);
end if;
end process;
end ar1;
--
Jerry1111
Następne wpisy z tego wątku
- 22.11.09 23:35 fred
Najnowsze wątki z tej grupy
- Podłączenie DMA 8257 do 8085
- pozew za naprawę sprzętu na youtube
- gasik
- Zbieranie danych przez www
- reverse engineering i dodawanie elementów do istniejących zamkniętych produktów- legalne?
- Problem z odczytem karty CF
- 74F vs 74HCT
- Newag ciąg dalszy
- Digikey, SN74CBT3253CD, FST3253, ktoś ma?
- Szukam: czujnik ruchu z możliwością zaączenia na stałe
- kabelek - kynar ?
- Podnieść masę o 0.6V
- Moduł BT BLE 5.0
- Pomiar amplitudy w zegarku mechanicznym
- ale zawziętość i cierpliwość
Najnowsze wątki
- 2025-01-08 Warszawa => Spedytor Międzynarodowy <=
- 2025-01-08 Katowice => Regionalny Kierownik Sprzedaży (OZE) <=
- 2025-01-08 Gdańsk => Specjalista ds. Sprzedaży <=
- 2025-01-08 Katowice => Key Account Manager (ERP) <=
- 2025-01-08 Warszawa => Programista Full Stack .Net <=
- 2025-01-08 Podłączenie DMA 8257 do 8085
- 2025-01-08 Warszawa => System Architect (background deweloperski w Java) <=
- 2025-01-08 Warszawa => Solution Architect (Java background) <=
- 2025-01-08 Wrocław => Application Security Engineer <=
- 2025-01-08 Warszawa => International Freight Forwarder <=
- 2025-01-08 Mińsk Mazowiecki => Area Sales Manager OZE <=
- 2025-01-08 Lublin => Inżynier Serwisu Sprzętu Medycznego <=
- 2025-01-08 Bieruń => Spedytor Międzynarodowy (handel ładunkami/prowadzenie flo
- 2025-01-08 Gliwice => Business Development Manager - Network and Network Security
- 2025-01-08 Warszawa => Spedytor Międzynarodowy <=