eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronikaVHDL - typy. Problem :(Re: VHDL - typy. Problem :(
  • Path: news-archive.icm.edu.pl!news.rmf.pl!agh.edu.pl!news.agh.edu.pl!news.onet.pl!.PO
    STED!not-for-mail
    From: Mario <m...@p...onet.pl>
    Newsgroups: pl.misc.elektronika
    Subject: Re: VHDL - typy. Problem :(
    Date: Sat, 28 May 2011 23:36:32 +0200
    Organization: http://onet.pl
    Lines: 45
    Message-ID: <irrpte$35m$1@news.onet.pl>
    References: <4ddea817$0$2450$65785112@news.neostrada.pl> <irnokc$pal$1@news.onet.pl>
    <4ddfcc85$0$2446$65785112@news.neostrada.pl> <irqcai$fl1$1@news.onet.pl>
    <irqnft$n94$1@opal.futuro.pl> <irrcdp$me2$1@news.onet.pl>
    <irrflv$vsc$1@news.onet.pl> <irrns6$tmp$1@opal.futuro.pl>
    NNTP-Posting-Host: 213-238-86-99.adsl.inetia.pl
    Mime-Version: 1.0
    Content-Type: text/plain; charset=ISO-8859-2; format=flowed
    Content-Transfer-Encoding: 8bit
    X-Trace: news.onet.pl 1306618606 3254 213.238.86.99 (28 May 2011 21:36:46 GMT)
    X-Complaints-To: n...@o...pl
    NNTP-Posting-Date: Sat, 28 May 2011 21:36:46 +0000 (UTC)
    User-Agent: Mozilla/5.0 (X11; U; Linux i686; en-US; rv:1.5) Gecko/20031007
    In-Reply-To: <irrns6$tmp$1@opal.futuro.pl>
    Xref: news-archive.icm.edu.pl pl.misc.elektronika:611244
    [ ukryj nagłówki ]

    W dniu 2011-05-28 23:02, Lelek@ pisze:
    >
    > "Mario" <m...@p...onet.pl> wrote in message
    > news:irrflv$vsc$1@news.onet.pl...
    >
    >>
    >>> Natomiast myślenie tak o sygnałach jest pierwszym krokiem do kłopotów...
    >>
    >> No tak ale to przegięcie, że w jednym procesie nie można dać
    >> (CLK'event and CLK='1') oraz (CLK'event and CLK='0') czyli, że nie
    >> można najpierw coś zrobić na zboczu narastającym a później coś innego
    >> na opadającym.
    >
    > Oczywiście, że można. Musisz popatrzeć w makra od celek jak się to robi
    > dla twojej architektury i zrobić normalne "instantiate"
    > Nie można mówić o czymś najpierw czy później na innym zboczu. Ty nie
    > wykonujesz programu tylko syntetyzujesz logikę, sprawdzająć co pewien
    > czas jaki wychodzi z schemat z twojego kodu.
    > To jest piękne. Wszystko odbywa się równolegle.

    No ja wiem że w sensie topologii to jest równoczesne. W sensie
    przetwarzania sygnałów jest to dla mnie po kolei

    > Stawiasz dwa D flip-flopy i masz dwa niezależne "układy scalone" w
    > jednej logice ale taktowane tym samym zegarem.
    > Do FPGA trzeba sie przyzwyczaić i zacząć myśleć inaczej niż przy
    > programowaniu.

    Jakoś sobie poradziłem dwoma niezależnymi procesami. Ale różnych
    komunikatów, że się nie da zsyntezować, było po drodze sporo :)

    > Musisz sobie zdawać sprawę, że jak masz 2 procesy nawet z tego samego
    > zegara, podobne to dane na ich wyjściach czy na wejściach Df-f nie muszą
    > wcale być w tej samej chwili i są podatne na setup time czy hold time
    > violations.
    > Musisz sobie zdawać sprawę, że do procesu clk'event nie możesz
    > doprowadzić niezsynchronizowanych sygnałów bo ci ich ten Df-f nie bedzie
    > widział albo generował hazardy zamiast tego co oczekujesz.

    Dopiero z tym zaczynam na Spartan 3. Stanowczo bardziej intuicyjne dla
    mnie wydaje się pisanie w C czy asm.

    --
    Pozdrawiam
    MD

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: