eGospodarka.pl
eGospodarka.pl poleca

eGospodarka.plGrupypl.misc.elektronikaNauka programowania FPGARe: Nauka programowania FPGA
  • Data: 2018-02-23 01:28:47
    Temat: Re: Nauka programowania FPGA
    Od: Jacek Radzikowski <j...@s...die.die.die.piranet.org> szukaj wiadomości tego autora
    [ pokaż wszystkie nagłówki ]

    On 02/11/2018 10:22 AM, Bombardier Dąs vel Karbonylek wrote:
    > użytkownik Grzegorz Kurczyk napisał:
    >
    >> Tak po prawdzie układów FPGA się nie programuje, tylko konfiguruje.
    >> Jeśli pisałeś cokolwiek w C to proponuję Veriloga.
    >> Podobna składnia, ale oczywiście inna filozofia. "Program" napisany w
    >> Verilogu wygląda jak w C ale to nie są kolejno wykonywane instrukcje
    >> tylko opis sprzętu dający w efekcie określone połączenia pomiędzy
    >> komórkami układu FPGA.
    >
    >
    > Są jakieś programy/środowiska o objętości poniżej 100M
    > gdzie można sobie poklepać kod tak żeby diodą pomigać?
    >
    >
    > Czy wszyscy są skazani na kombajny po 5GB?

    Jeśli projekt nie jest bardzo duży, i mostka nie musi mieć logo
    Xilinxa/Altery, to dla ice40 Lattice jest otwarty toolchain icestorm.

    Jacek.

Podziel się

Poleć ten post znajomemu poleć

Wydrukuj ten post drukuj


Następne wpisy z tego wątku

Najnowsze wątki z tej grupy


Najnowsze wątki

Szukaj w grupach

Eksperci egospodarka.pl

1 1 1

Wpisz nazwę miasta, dla którego chcesz znaleźć jednostkę ZUS.

Wzory dokumentów

Bezpłatne wzory dokumentów i formularzy.
Wyszukaj i pobierz za darmo: